From 790efd81920d74b10934347a35f31971616aea65 Mon Sep 17 00:00:00 2001 From: cdrewes Date: Wed, 11 May 2022 22:36:51 -0700 Subject: [PATCH] Fix IP export --- .../new => pulsegen_sync}/component.xml | 29 +- .../new => pulsegen_sync}/pulsegen_v.v | 17 - .../xgui/pulsegen_v_v1_0.tcl | 0 .../pulsegen_v.cache/wt/gui_handlers.wdf | 60 --- .../wt/java_command_handlers.wdf | 14 - .../pulsegen_v.cache/wt/project.wpc | 3 - .../pulsegen_v.cache/wt/synthesis.wdf | 39 -- .../pulsegen_v.cache/wt/synthesis_details.wdf | 3 - .../pulsegen_v.cache/wt/webtalk_pa.xml | 100 ---- .../pulsegen_v/pulsegen_v.hw/pulsegen_v.lpr | 6 - .../pulsegen_v.runs/.jobs/vrs_config_1.xml | 5 - .../pulsegen_v.runs/.jobs/vrs_config_2.xml | 5 - .../pulsegen_v.runs/.jobs/vrs_config_3.xml | 5 - .../pulsegen_v.runs/.jobs/vrs_config_4.xml | 5 - .../pulsegen_v.runs/.jobs/vrs_config_5.xml | 5 - .../pulsegen_v.runs/.jobs/vrs_config_6.xml | 5 - .../pulsegen_v.runs/.jobs/vrs_config_7.xml | 5 - .../impl_1/.Vivado_Implementation.queue.rst | 0 .../impl_1/.init_design.begin.rst | 5 - .../impl_1/.init_design.end.rst | 0 .../impl_1/.opt_design.begin.rst | 5 - .../impl_1/.opt_design.end.rst | 0 .../impl_1/.place_design.begin.rst | 5 - .../impl_1/.place_design.end.rst | 0 .../impl_1/.route_design.begin.rst | 5 - .../impl_1/.route_design.end.rst | 0 .../pulsegen_v.runs/impl_1/.vivado.begin.rst | 5 - .../pulsegen_v.runs/impl_1/.vivado.end.rst | 0 .../pulsegen_v.runs/impl_1/ISEWrap.js | 244 ---------- .../pulsegen_v.runs/impl_1/ISEWrap.sh | 63 --- .../pulsegen_v.runs/impl_1/gen_run.xml | 108 ----- .../pulsegen_v/pulsegen_v.runs/impl_1/htr.txt | 9 - .../pulsegen_v.runs/impl_1/init_design.pb | Bin 1651 -> 0 bytes .../pulsegen_v.runs/impl_1/opt_design.pb | Bin 9396 -> 0 bytes .../pulsegen_v.runs/impl_1/place_design.pb | Bin 12981 -> 0 bytes .../pulsegen_v.runs/impl_1/project.wdf | 31 -- .../pulsegen_v.runs/impl_1/pulsegen_v.tcl | 151 ------ .../pulsegen_v.runs/impl_1/pulsegen_v.vdi | 424 ----------------- .../impl_1/pulsegen_v_bus_skew_routed.pb | Bin 30 -> 0 bytes .../impl_1/pulsegen_v_bus_skew_routed.rpt | 15 - .../impl_1/pulsegen_v_bus_skew_routed.rpx | Bin 1053 -> 0 bytes .../pulsegen_v_clock_utilization_routed.rpt | 145 ------ .../impl_1/pulsegen_v_control_sets_placed.rpt | 67 --- .../impl_1/pulsegen_v_drc_opted.pb | Bin 37 -> 0 bytes .../impl_1/pulsegen_v_drc_opted.rpt | 53 --- .../impl_1/pulsegen_v_drc_opted.rpx | Bin 4260 -> 0 bytes .../impl_1/pulsegen_v_drc_routed.pb | Bin 37 -> 0 bytes .../impl_1/pulsegen_v_drc_routed.rpt | 53 --- .../impl_1/pulsegen_v_drc_routed.rpx | Bin 4261 -> 0 bytes .../impl_1/pulsegen_v_io_placed.rpt | 442 ------------------ .../pulsegen_v_methodology_drc_routed.pb | Bin 52 -> 0 bytes .../pulsegen_v_methodology_drc_routed.rpt | 50 -- .../pulsegen_v_methodology_drc_routed.rpx | Bin 795 -> 0 bytes .../pulsegen_v.runs/impl_1/pulsegen_v_opt.dcp | Bin 8356 -> 0 bytes .../impl_1/pulsegen_v_placed.dcp | Bin 210291 -> 0 bytes .../impl_1/pulsegen_v_power_routed.rpt | 150 ------ .../impl_1/pulsegen_v_power_routed.rpx | Bin 7651 -> 0 bytes .../impl_1/pulsegen_v_power_summary_routed.pb | Bin 722 -> 0 bytes .../impl_1/pulsegen_v_route_status.pb | Bin 43 -> 0 bytes .../impl_1/pulsegen_v_route_status.rpt | 11 - .../impl_1/pulsegen_v_routed.dcp | Bin 213646 -> 0 bytes .../pulsegen_v_timing_summary_routed.pb | 2 - .../pulsegen_v_timing_summary_routed.rpt | 173 ------- .../pulsegen_v_timing_summary_routed.rpx | Bin 4414 -> 0 bytes .../impl_1/pulsegen_v_utilization_placed.pb | Bin 224 -> 0 bytes .../impl_1/pulsegen_v_utilization_placed.rpt | 200 -------- .../pulsegen_v.runs/impl_1/route_design.pb | Bin 12838 -> 0 bytes .../pulsegen_v.runs/impl_1/rundef.js | 44 -- .../pulsegen_v.runs/impl_1/runme.bat | 11 - .../pulsegen_v.runs/impl_1/runme.sh | 43 -- .../pulsegen_v.runs/impl_1/vivado.pb | Bin 149 -> 0 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 .../pulsegen_v.runs/synth_1/.vivado.begin.rst | 5 - .../pulsegen_v.runs/synth_1/.vivado.end.rst | 0 .../pulsegen_v.runs/synth_1/ISEWrap.js | 244 ---------- .../pulsegen_v.runs/synth_1/ISEWrap.sh | 63 --- .../synth_1/__synthesis_is_complete__ | 0 .../pulsegen_v.runs/synth_1/gen_run.xml | 40 -- .../pulsegen_v.runs/synth_1/htr.txt | 9 - .../pulsegen_v.runs/synth_1/project.wdf | 31 -- .../pulsegen_v.runs/synth_1/pulsegen_v.dcp | Bin 8032 -> 0 bytes .../pulsegen_v.runs/synth_1/pulsegen_v.tcl | 52 --- .../pulsegen_v.runs/synth_1/pulsegen_v.vds | 252 ---------- .../synth_1/pulsegen_v_utilization_synth.pb | Bin 224 -> 0 bytes .../synth_1/pulsegen_v_utilization_synth.rpt | 173 ------- .../pulsegen_v.runs/synth_1/rundef.js | 40 -- .../pulsegen_v.runs/synth_1/runme.bat | 11 - .../pulsegen_v.runs/synth_1/runme.sh | 39 -- .../pulsegen_v.runs/synth_1/vivado.pb | Bin 27185 -> 0 bytes .../sources_1/new/xgui/pulsegen_sync_v1_0.tcl | 10 - burn/ip/pulsegen_v/pulsegen_v.xpr | 151 ------ burn/z1/base/base.bit | Bin 4045672 -> 4045672 bytes burn/z1/base/base.rpt | 2 +- burn/z1/base/base.tcl | 4 +- burn/z1/picorv/picorv32.bit | Bin 4045676 -> 4045676 bytes burn/z1/picorv/picorv32.rpt | 2 +- burn/z1/picorv/picorv32.tcl | 4 +- 97 files changed, 21 insertions(+), 3931 deletions(-) rename burn/ip/{pulsegen_v/pulsegen_v.srcs/sources_1/new => pulsegen_sync}/component.xml (88%) rename burn/ip/{pulsegen_v/pulsegen_v.srcs/sources_1/new => pulsegen_sync}/pulsegen_v.v (67%) rename burn/ip/{pulsegen_v/pulsegen_v.srcs/sources_1/new => pulsegen_sync}/xgui/pulsegen_v_v1_0.tcl (100%) delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.cache/wt/gui_handlers.wdf delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.cache/wt/java_command_handlers.wdf delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.cache/wt/project.wpc delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.cache/wt/synthesis.wdf delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.cache/wt/synthesis_details.wdf delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.cache/wt/webtalk_pa.xml delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.hw/pulsegen_v.lpr delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_1.xml delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_2.xml delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_3.xml delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_4.xml delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_5.xml delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_6.xml delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_7.xml delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.Vivado_Implementation.queue.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.init_design.begin.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.init_design.end.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.opt_design.begin.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.opt_design.end.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.place_design.begin.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.place_design.end.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.route_design.begin.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.route_design.end.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.vivado.begin.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.vivado.end.rst delete mode 100755 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/ISEWrap.js delete mode 100755 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/ISEWrap.sh delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/gen_run.xml delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/htr.txt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/init_design.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/opt_design.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/place_design.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/project.wdf delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v.tcl delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v.vdi delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_bus_skew_routed.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_bus_skew_routed.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_bus_skew_routed.rpx delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_clock_utilization_routed.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_control_sets_placed.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_opted.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_opted.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_opted.rpx delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_routed.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_routed.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_routed.rpx delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_io_placed.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_methodology_drc_routed.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_methodology_drc_routed.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_methodology_drc_routed.rpx delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_opt.dcp delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_placed.dcp delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_power_routed.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_power_routed.rpx delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_power_summary_routed.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_route_status.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_route_status.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_routed.dcp delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.rpx delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_utilization_placed.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_utilization_placed.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/route_design.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/rundef.js delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/runme.bat delete mode 100755 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/runme.sh delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/vivado.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/.Vivado_Synthesis.queue.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/.vivado.begin.rst delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/.vivado.end.rst delete mode 100755 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/ISEWrap.js delete mode 100755 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/ISEWrap.sh delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/__synthesis_is_complete__ delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/gen_run.xml delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/htr.txt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/project.wdf delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v.dcp delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v.tcl delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v.vds delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v_utilization_synth.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v_utilization_synth.rpt delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/rundef.js delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/runme.bat delete mode 100755 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/runme.sh delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/vivado.pb delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/xgui/pulsegen_sync_v1_0.tcl delete mode 100644 burn/ip/pulsegen_v/pulsegen_v.xpr diff --git a/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/component.xml b/burn/ip/pulsegen_sync/component.xml similarity index 88% rename from burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/component.xml rename to burn/ip/pulsegen_sync/component.xml index b43ae69..cdc70e7 100644 --- a/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/component.xml +++ b/burn/ip/pulsegen_sync/component.xml @@ -1,8 +1,8 @@ - user.org - user - pulsegen_sync + colindrewes.com + colindrewes + pulsegen_v 1.0 @@ -36,7 +36,7 @@ viewChecksum - 8b34af51 + b02efd88 @@ -52,7 +52,7 @@ viewChecksum - 8b34af51 + b02efd88 @@ -123,7 +123,7 @@ pulsegen_v.v verilogSource - CHECKSUM_8b34af51 + CHECKSUM_b02efd88 @@ -136,14 +136,14 @@ xilinx_xpgui_view_fileset - xgui/pulsegen_sync_v1_0.tcl + xgui/pulsegen_v_v1_0.tcl tclSource CHECKSUM_f92e9879 XGUI_VERSION_2 - pulsegen_sync + pulsegen_v Component_Name @@ -177,19 +177,20 @@ /UserIP - pulsegen_sync + pulsegen_v package_project - 3 - 2020-12-25T09:21:19Z + 2 + 2022-05-11T23:35:22Z - /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new - /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new + /data/cdrewes/Tunable-TDC/burn/ip/pulsegen_sync + /data/cdrewes/Tunable-TDC/burn/ip/pulsegen_sync + /data/cdrewes/Tunable-TDC/burn/ip/pulsegen_sync 2018.2 - + diff --git a/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/pulsegen_v.v b/burn/ip/pulsegen_sync/pulsegen_v.v similarity index 67% rename from burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/pulsegen_v.v rename to burn/ip/pulsegen_sync/pulsegen_v.v index b84d98b..7fb8c1f 100644 --- a/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/pulsegen_v.v +++ b/burn/ip/pulsegen_sync/pulsegen_v.v @@ -22,33 +22,16 @@ module pulsegen_v( input clk, - //input reset_in, output reg[7:0] O, output reg syncer ); reg[1:0] count = 2'b00; -//reg trigger = 1'b0; -//reg reset = 1'b0; - -//always @(*) begin -// if (reset_in == 1'b1) begin -// trigger = 1'b1; -// end -//end always @(posedge clk) begin count <= count + 1; O <= (count[1] == 1'b0) ? 8'b00000000 : 8'b00000001; syncer <= (count == 2'b10) ? 1'b1 : 1'b0; - //if (reset == 1'b1) begin - // trigger <= 1'b0; - // reset <= 1'b0; - //end - //if (trigger == 1'b1 && count == 2'b10) begin - // synced_reset <= 1'b1; - // reset <= 1'b1; - //end end endmodule diff --git a/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/xgui/pulsegen_v_v1_0.tcl b/burn/ip/pulsegen_sync/xgui/pulsegen_v_v1_0.tcl similarity index 100% rename from burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/xgui/pulsegen_v_v1_0.tcl rename to burn/ip/pulsegen_sync/xgui/pulsegen_v_v1_0.tcl diff --git a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/gui_handlers.wdf b/burn/ip/pulsegen_v/pulsegen_v.cache/wt/gui_handlers.wdf deleted file mode 100644 index 2ebf2b5..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/gui_handlers.wdf +++ /dev/null @@ -1,60 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f7265747265657461626c6570616e656c5f636f72655f747265655f7461626c65:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f646566696e655f6d6f64756c65735f616e645f737065636966795f696f5f706f727473:3136:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6578706f727472736274636c7363726970746469616c6f675f6175746f6d61746963616c6c795f6372656174655f746f705f64657369676e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6578706f727472736274636c7363726970746469616c6f675f737065636966795f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6670676163686f6f7365725f667067615f7461626c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3332:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6964656e74696669636174696f6e636f6e74656e7470616e656c5f6465736372697074696f6e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6964656e74696669636174696f6e636f6e74656e7470616e656c5f646973706c61795f6e616d65:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6964656e74696669636174696f6e636f6e74656e7470616e656c5f6c696272617279:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6964656e74696669636174696f6e636f6e74656e7470616e656c5f6e616d65:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6964656e74696669636174696f6e636f6e74656e7470616e656c5f76656e646f72:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f636865636b706f696e74:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f65646974:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6578706f7274:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:3136:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f666c6f77:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6970:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6f70656e5f726563656e745f70726f6a656374:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:3135:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f7265706f727473:3136:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746578745f656469746f72:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746f6f6c73:3132:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f77696e646f77:3134:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e746f6f6c6261726d67725f72756e:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e77696e6d656e756d67725f6c61796f7574:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e6577697077697a6172645f7061636b6167655f796f75725f63757272656e745f70726f6a6563745f757365:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f646973636f6e6e6563745f7273625f70696e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6578706f72745f62645f74636c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f69705f7061636b616765725f77697a617264:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6e65775f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6e65775f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f70726f6a656374:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f73796e746865736973:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f7061636b6167655f6970:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636b61676572737465707370616e656c5f7061636b616765725f73746570735f6c697374:3134:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706c616e61686561647461625f726566726573685f69705f636174616c6f67:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706f7274616e64696e7465726661636566616365747461626c655f706f72745f616e645f696e746572666163655f66616365745f7461626c65:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f6372656174655f70726f6a6563745f7375626469726563746f7279:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726576696577636f6e74656e7470616e656c5f7061636b6167655f6970:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726576696577636f6e74656e7470616e656c5f72655f7061636b6167655f6970:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f6f725f6372656174655f736f757263655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73797374656d6275696c646572766965775f6164645f6970:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73797374656d6275696c646572766965775f70696e6e696e67:31:00:00 -eof:2193831575 diff --git a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/java_command_handlers.wdf b/burn/ip/pulsegen_v/pulsegen_v.cache/wt/java_command_handlers.wdf deleted file mode 100644 index 0807d48..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/java_command_handlers.wdf +++ /dev/null @@ -1,14 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f736570726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:646973636f6e6e65637472736270696e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6578706f727472736274636c736372697074:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:69707061636b6167657268616e646c6572:35:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:69707061636b6167657277697a61726468616e646c6572:35:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657766696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:34:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:34:00:00 -eof:1930426379 diff --git a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/project.wpc b/burn/ip/pulsegen_v/pulsegen_v.cache/wt/project.wpc deleted file mode 100644 index 4e6ebcf..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/project.wpc +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -6d6f64655f636f756e7465727c4755494d6f6465:10 -eof: diff --git a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/synthesis.wdf b/burn/ip/pulsegen_v/pulsegen_v.cache/wt/synthesis.wdf deleted file mode 100644 index ad43c90..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/synthesis.wdf +++ /dev/null @@ -1,39 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863377a303230636c673430302d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:70756c736567656e5f76:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323773:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313439372e3731314d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3238392e3834304d42:00:00 -eof:3760077666 diff --git a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/synthesis_details.wdf b/burn/ip/pulsegen_v/pulsegen_v.cache/wt/synthesis_details.wdf deleted file mode 100644 index 78f8d66..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/synthesis_details.wdf +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 -eof:2511430288 diff --git a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/webtalk_pa.xml b/burn/ip/pulsegen_v/pulsegen_v.cache/wt/webtalk_pa.xml deleted file mode 100644 index 8fc02ac..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.cache/wt/webtalk_pa.xml +++ /dev/null @@ -1,100 +0,0 @@ - - - - -
- - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
diff --git a/burn/ip/pulsegen_v/pulsegen_v.hw/pulsegen_v.lpr b/burn/ip/pulsegen_v/pulsegen_v.hw/pulsegen_v.lpr deleted file mode 100644 index 4577eea..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.hw/pulsegen_v.lpr +++ /dev/null @@ -1,6 +0,0 @@ - - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_1.xml b/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_1.xml deleted file mode 100644 index 951d257..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_1.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_2.xml b/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_2.xml deleted file mode 100644 index 0a85373..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_2.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_3.xml b/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_3.xml deleted file mode 100644 index 951d257..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_3.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_4.xml b/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_4.xml deleted file mode 100644 index 0a85373..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_4.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_5.xml b/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_5.xml deleted file mode 100644 index 951d257..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_5.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_6.xml b/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_6.xml deleted file mode 100644 index 951d257..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_6.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_7.xml b/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_7.xml deleted file mode 100644 index 0a85373..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/.jobs/vrs_config_7.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.Vivado_Implementation.queue.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.Vivado_Implementation.queue.rst deleted file mode 100644 index e69de29..0000000 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.init_design.begin.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.init_design.begin.rst deleted file mode 100644 index 5c9bb5b..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.init_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.init_design.end.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.init_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.opt_design.begin.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.opt_design.begin.rst deleted file mode 100644 index 5c9bb5b..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.opt_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.opt_design.end.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.opt_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.place_design.begin.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.place_design.begin.rst deleted file mode 100644 index 5c9bb5b..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.place_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.place_design.end.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.place_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.route_design.begin.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.route_design.begin.rst deleted file mode 100644 index 5c9bb5b..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.route_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.route_design.end.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.route_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.vivado.begin.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.vivado.begin.rst deleted file mode 100644 index 778db82..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.vivado.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.vivado.end.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/ISEWrap.js b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/ISEWrap.js deleted file mode 100755 index 8284d2d..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/ISEWrap.js +++ /dev/null @@ -1,244 +0,0 @@ -// -// Vivado(TM) -// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 -// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. -// - -// GLOBAL VARIABLES -var ISEShell = new ActiveXObject( "WScript.Shell" ); -var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); -var ISERunDir = ""; -var ISELogFile = "runme.log"; -var ISELogFileStr = null; -var ISELogEcho = true; -var ISEOldVersionWSH = false; - - - -// BOOTSTRAP -ISEInit(); - - - -// -// ISE FUNCTIONS -// -function ISEInit() { - - // 1. RUN DIR setup - var ISEScrFP = WScript.ScriptFullName; - var ISEScrN = WScript.ScriptName; - ISERunDir = - ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); - - // 2. LOG file setup - ISELogFileStr = ISEOpenFile( ISELogFile ); - - // 3. LOG echo? - var ISEScriptArgs = WScript.Arguments; - for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - ISELogFileStr.Close(); - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.Close(); -} - -function ISEOpenFile( ISEFilename ) { - - // This function has been updated to deal with a problem seen in CR #870871. - // In that case the user runs a script that runs impl_1, and then turns around - // and runs impl_1 -to_step write_bitstream. That second run takes place in - // the same directory, which means we may hit some of the same files, and in - // particular, we will open the runme.log file. Even though this script closes - // the file (now), we see cases where a subsequent attempt to open the file - // fails. Perhaps the OS is slow to release the lock, or the disk comes into - // play? In any case, we try to work around this by first waiting if the file - // is already there for an arbitrary 5 seconds. Then we use a try-catch block - // and try to open the file 10 times with a one second delay after each attempt. - // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. - // If there is an unrecognized exception when trying to open the file, we output - // an error message and write details to an exception.log file. - var ISEFullPath = ISERunDir + "/" + ISEFilename; - if (ISEFileSys.FileExists(ISEFullPath)) { - // File is already there. This could be a problem. Wait in case it is still in use. - WScript.Sleep(5000); - } - var i; - for (i = 0; i < 10; ++i) { - try { - return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); - } catch (exception) { - var error_code = exception.number & 0xFFFF; // The other bits are a facility code. - if (error_code == 52) { // 52 is bad file name or number. - // Wait a second and try again. - WScript.Sleep(1000); - continue; - } else { - WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - var exceptionFilePath = ISERunDir + "/exception.log"; - if (!ISEFileSys.FileExists(exceptionFilePath)) { - WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); - var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); - exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - exceptionFile.WriteLine("\tException name: " + exception.name); - exceptionFile.WriteLine("\tException error code: " + error_code); - exceptionFile.WriteLine("\tException message: " + exception.message); - exceptionFile.Close(); - } - throw exception; - } - } - } - // If we reached this point, we failed to open the file after 10 attempts. - // We need to error out. - WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); - WScript.Quit(1); -} diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/ISEWrap.sh b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/ISEWrap.sh deleted file mode 100755 index e1a8f5d..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/ISEWrap.sh +++ /dev/null @@ -1,63 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! -if [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi -ISE_USER=$USER -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/gen_run.xml b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/gen_run.xml deleted file mode 100644 index 71358a9..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/gen_run.xml +++ /dev/null @@ -1,108 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/htr.txt b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/htr.txt deleted file mode 100644 index b4c7087..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -# -# Vivado(TM) -# htr.txt: a Vivado-generated description of how-to-repeat the -# the basic steps of a run. Note that runme.bat/sh needs -# to be invoked for Vivado to track run status. -# Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -# - -vivado -log pulsegen_v.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source pulsegen_v.tcl -notrace diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/init_design.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/init_design.pb deleted file mode 100644 index 3b1ed8ff5ad641cda946d2b61cba5f4caf2bd8a6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1651 zcmb7EU2oeq6m8Vr>wtO$G!Q0z#r4CK4%- zlw;>%zoCDse`LR8q(2g+Ry!2%gLO&XbMCq4UKqpnzRWTzk^yRKXl}ID_kzyWn2%{9 zO`MVgz$q7(X9?4MB#>uhj`AYaY{bOb7+4!(_uelB*c=r1|C)a3kpNkPP5@{7{W|o9%VGwn;@|22~=Zq%g2iVfG zP%+bIRY(m?Jo};ybpbzr4l0&H8+8{g`~+=r=L=|I8I6JWQqXjI?W$>ObS${$8Dc5R zm@pa*r9z6?dg56Cn)TK?jyjuynUrfo9>ZEyU3Jp;uq&%Rsm~R>0J6yaUQwZK-i#V9 z1&U>sr_8Vfap4&39~~pjY#E8|utg)iJ7|7YzbqQ*mC+{fe*=>dtuZsW1qmIHF_O9A8NZ&%>ZGd$VgIH; zM|3ap%jy1sr`0WaSJ)v_(*Z1~=1Z zL#dy;#{5N52xFlwo?8aiDy3y$t@&b=g>!6$ zhrTX+x)FMztK?=u{0%Kj?AFV=i0#blVUecOIk$e9+fVRKX;H1RoB@zBz`yID+WI}u z7~0Xh0~F^4`qhc?iyc;)YwPaWXBWL?nN(A>^Y%A;Eoby{d2dARge~3-6=NtrpX!;w z<=~hrQ&`bm44T`mTG;bD4O>|z1$hb&O1uYXl{xty?)(10{&4?Gr(slmio@Psw}&f6 zjeG~2BnrA=(5ra*1ZppWs>Xf`^&s$V#^rjy-SZ6`c@c3kZ%?-8Yw97ufi>Bmb^)oR Gx%MBq8R3Tj diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/opt_design.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/opt_design.pb deleted file mode 100644 index a230385eabfcc22d8f4295396997f86be19a37a9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9396 zcmd^F|8FBl74If-0z;@mMSCSzq2VgGxp203XV>d>S`b{4o{nDcqTH2Mrc$)G9@|US zyQ`hqe5v{)NWc#W2?>cG03oP^5Pt*kL)D-8fuHyZ{uAEJ+Ri#Vo3oocuHvLfvEQs` z-n{pD@6Ei=qI+m{I~oq%u)Bq;46QA#FEq`~FCO_vZa3myK)#Mp6!U{FWqv;-D_z=i z(|~V{KXfWq%`nWtbMey2(l4~<))vqoH1wVCa!!Xa_rpHs5$;otT^#rx4H?C~D8W7I z@-(6N^(uQE!{QB)b?Fg2Aw@!uMN@Y+mpxD}3duF}{Ow^J&>;=E%YBfH6_{v3uA-F_ zul1o$^x|M=*=W^FtCiRDmm1P&h-^MNj z+rqOj|WtqY3^vw+#gE+b?fmn~T}Lh_c_M=zlJ+)bpvstiAH*`aiSp_`juf`u7R zTtDQDTtSPVlhVFU4W7==x`$rL@8j6#1JD=qK|)5Stq`+D zgwH0nc^ADXY|`^XpABdik0uq9Es_@5T#1wDht%Wb74!ui?oYWd9F9-|G^?!w3NEhXCiHgk*iBg*DNlQxWS3Q%^SV zHw`Tz8EDgn{jG+6sy*F9OGvfwv;@EJ(j&AM(H@V%E%isQj4^F23!fu`LYOOO17r&4H|x?vVg zmUnD_X1LryFK@dZ*b#On@O=BsTE!(GMhh6)Mb(`sq?l1I!TJ8qHs;w}Iao@|FPP2E z;+BOSjKlY3WwIX$w4@_a(8#)KZ%BC21LoxXN$Bo!OVKp%?B% zec!|PAg>So4@=Up%8Nc)MSBAgTve78nMFf4rUT$5v|!*OC`2IdQ^-wFLyDuL#Y7}e z%1?@}@1-(1K(g_}BbsoUKv0R021yXPT^#!%NHW;8oy|q4a3TjO3P*{!vuqkQ!*ucx zdmCLDYYKr1OL;U1Cd*juimnl* zat+9xnK23v>-rdrxolI4&{ol}d4K*|L-jFuum`n+pIH}5BNV{|(%?}}D2svJ%Itk1 zeoDMsB9=}!ohdJ+vq77vpuK^O`?Wcy( zk1vPOnSoFO%o4E*uf5suYO3)1HB`BOOle$eviYcr|&a3yUljs zoI=@M)Xr?$#23u>D&qHWHv0>DlB~yUD=Bm$9qZSQO3me?=Iy5 zz-qP{_C3_haAf0>d7eoO{poTTn#U`b$Io0hTsYB&&_42ye14jB0!o20)$96e$!+U5 z8v04;ZA*F8dTM9b+sGPqNQ&3|xqBm@@%AZN9g5qhp+7aN%!Qu4=y=w0HH99ATJQNm zfZ3rRV=sujL+IVfewXN#O99JToiR=PsEgke?S+tK9Y@2kHkadLxIl99GFl>V|5kz4 zghstN)r&mycv12HmB&S2QsHsvd6u*{3q7&N-Ea6{=8o7m(3juxL+F}rL+3b5%kM=~ z&W024D9)ySJh#FB4^mDCy|hn7*Ig9m5j~XAP{0j1=D6uP3;P4D_EyaihMu(AKh@AJ z2ouqBW;aB@yyqr@Rmr|=CqSl%KKNKFTS@R#MjBumdQlp}@soBT%zzdnPM%|db|oRj zFq^Mjl|0=6g2tLU&whZ`K{FtIfC3ZkFP3`ZJkv{q;51XNomcKroSm3|iU9i00!pgv z!8!T?mdpnyd{xJ!d~QTG$j|4S(`Ul7-n8fgudXjz&Ka48(JJJy5!JY*I?oAKM{**> zP}qJlYPQv*#wd9xMu9xE+ULDA5we_SmZRsQOfIU%j-+VdETwF0@O&87&_p4`D8hp?w=aM4}e<% z>q;6G8tgC`Ow*?!O+=eCs}D9YCXocl06NAyj3c1)6dQ`r4;q7LNE==h_+ev*vO^xl zjlDay`@r1-nbvriCSk*m8*v&i3M(BP7W$Q3XX^w9;{ zCxQEW4J}j|+-Ef?Y%rssp?{>Ic=v>QsVs|I(DN0foFL*{8YfbbKLa`$3KZ!Z6 z#ShhkAg?9yiFyzQP|-elE#VOS2#Lc@u5q6@K4` zFgR&sQ#6QfICawKy4-~jDA9zN@^y%bCQpI}|KkFBRfIk`6kf()7}`YgRRKvz13M1! zP#vERZ718Eh@yXK$jxu>amDvk#I0%TPkxc2@g1#GAvMFE;^gKpoM#bRTtXJ+slxg1 z&r9JvQ~Tn=soTYE$(JRvR}D%=iEiulmZduItfOVitedKrZp7On#84GfSvPH%u<#a- Ts%}1y5S*By9|%F(UDN&xba|A2 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/place_design.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/place_design.pb deleted file mode 100644 index 4d054c3977f6df3abc5df46e5b266a4a37ab9f2e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12981 zcmeHOU2o)88Sdm`0&hbVRoZPySLIMhn+-c2``F`|Nm;>eK9;~{832}o|xPTwPZF>V3h`+$EfZxD-?D52oj}vEA5b0D}DKlq|-*f!D zpXWW#!uMf*%^eI3r@Km)0$7+?oNA~mUp%o+jIJA+HYG6c*@npuyDYGd96Hx!J!5Ex ztI3ChS*@lhYX1dq=5*#al@}JK;7=9!_PsD<1243kBNDpgh=s%;wq-IWV5H~zq{obK z=ri)xQt%eRa{kAIs?w~fS~I=R-&R0=;D%S**DUT!kw5KywQ*mwr?WABJ8)}v=r|m^_1!h{ z#0vYU91`|@W^_?Wvx`$yTbb_qCcOcbmf&S)sam7_UB@zi05`a-p5<6UpLG+Vk+5bv zt?^s%1(|I4zUv1f$*ApiD=nG9{T-Vbs8^r$n9tQ6_F1%ZpLwnylI8gCA#V6~r2K)4 znztOZgF&QADcVL$PHiJHt9Aw!5ALL4F#^G}jw6>4Fgh`%~tc^ox=St+sft1zQT!o}OLBwU<4VxesXA=yJ$M8xv@7H#HVQ{XQJ`__Pw z<>2-zF})$V%kh1iFx&6~R7mtyBHIkT`6*f6zK_#9W*jH;j?e&-&pn?p;`N^fmTB0T z!4u05hodoAm|vXMFbpI?UxLMC_d&dS?%YM$IVF%%9H3LH__YV!Pw|Eu~5F6SCH4=5a6_ ztP;Jgn3~#A&D9c{L{(O0tsC_u05c#EMT4#K%VJk5I#} z=PdvsOGeL3pa8D)i+paFnd*!F_YL!RrQ;G6`Q#?ht#@LB=Cxa$tl6%4oF2G8wCrwT z^6OCzD0G=z#N2?Tb=P4eU}2Pq-dkTIp*7%XA(evS;zDh#RO8x6=EmUhAq%4RB6WDywEGb&F){I=U=b~(-Oz}tz!R8lM~qZq39nugJ7YoB4oJS(dh zO{AD_z$=@z?bP~SF8-xVDM1QpCSv=Xgoq(IWdsbmYZ5hzKB%NB{bU_m$oiO^af!K|^NW=<=gN+wFAdK?$0As&I_Kq#@?3fTdb+C~T;9Q&+ zJ?<}5vGsx7is&0Z+2Pq#uTkD6sGe5iLV6wQBiPA#Tgl*#^>W*kTdih6KKNTfqW)tA zepQsHRYd3Pd^D<;X;Gr4iY~R}Ha;^dmX(Go$@(}arw&X?i%-h~-_Y{5mx64eQFzR((cHwU9o_vvu#AUU^8jN*}w*Fam)~98)BXrjlxOoqo zW@PV);gNT+^**>#`{^Mx6TPTJEGVmy>lHp*&lNs@Uh?d#O2UGg!s|Ph$7~A^sk`h5 zyKvYazq)Gn;aiDnG;*=P$x~73kk$|#c`&5y4Gh~Rdws*hON-~qcd3xpAQy?tYDY1f zy1`8IdW5uw*nuwT6b#FTG+m^fH{s=7Rx<*A^T@Ld@hqogn)wc>iO=Zhd*_8ro+iqN zvZhECpMEH7UMZAKg0qZIE^00iO`g4eSSIyipFo&+zX{7byhPpMhfdxl$Yfp4H^BZD zGo0FEFMoa#-G}|STpZKHN18kEYP6kExqI~r;1RUrXvP;P5;b`?QnFyy#K##dn9mtW zWD~=ZCNVCUxp=Xl>#UJ+7b4T>X$WpJ)BT2^te*&vFxYX3Jl4mI&6y*mud6pfN&G`i z+N@&{lc$A}*8rOM)PmOlo0gOAvBtsjIUGYH1(Gs4G1PXm)1~x!6vEHv7(ax~k%gX* z73pk{$xIW6(Op>HKrR|l{>9NHLR%0IEKPvD5X*^p<(ke1#1A?|bmZBhD#I=xoTE#8yFvktc{# z$QNUE+K*p;P8XY8?Nm2yojG}Y?Tuikc zhL#;1%u%_9&HoHZ?k?O+hnn(b#-J?kR z4hw^kG`TI1Ci4rIF>$R(THrAgNpK`34T-aYy>XDn(*FH_!8}7MA4iCh2w<$+BhuNb z=w$pAcyUaOQgng!rzcRseGMn3(a~Ly;PFcsK0;1fOg+<^d2u4@**_~l_K~uS-W1WX zk^YEAj^=&D9OfHgLzA zpOeAV5+{UKw3RB# zhojMjLLR8pk151R&FiG$6wLBEm%#dQ1^+w22-ei|7val^g#vsL_X5)574U6}Q+m-I z@-GDV_Fz{4FD9ALckM&uvIV4e!u*bl9ORrurl+Nlz68WiD)8q7#I%G9P*e)6l*<2m S6kh_sx)9HVrt5bXD*pj0t7gCe diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/project.wdf b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/project.wdf deleted file mode 100644 index e679594..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/project.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:32:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 -5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3637643230663563383234333433643038353837316264656633383530323237:506172656e742050412070726f6a656374204944:00 -eof:3429047775 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v.tcl b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v.tcl deleted file mode 100644 index 7a70812..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v.tcl +++ /dev/null @@ -1,151 +0,0 @@ -# -# Report generation script generated by Vivado -# - -proc create_report { reportName command } { - set status "." - append status $reportName ".fail" - if { [file exists $status] } { - eval file delete [glob $status] - } - send_msg_id runtcl-4 info "Executing : $command" - set retval [eval catch { $command } msg] - if { $retval != 0 } { - set fp [open $status w] - close $fp - send_msg_id runtcl-5 warning "$msg" - } -} -proc start_step { step } { - set stopFile ".stop.rst" - if {[file isfile .stop.rst]} { - puts "" - puts "*** Halting run - EA reset detected ***" - puts "" - puts "" - return -code error - } - set beginFile ".$step.begin.rst" - set platform "$::tcl_platform(platform)" - set user "$::tcl_platform(user)" - set pid [pid] - set host "" - if { [string equal $platform unix] } { - if { [info exist ::env(HOSTNAME)] } { - set host $::env(HOSTNAME) - } - } else { - if { [info exist ::env(COMPUTERNAME)] } { - set host $::env(COMPUTERNAME) - } - } - set ch [open $beginFile w] - puts $ch "" - puts $ch "" - puts $ch " " - puts $ch " " - puts $ch "" - close $ch -} - -proc end_step { step } { - set endFile ".$step.end.rst" - set ch [open $endFile w] - close $ch -} - -proc step_failed { step } { - set endFile ".$step.error.rst" - set ch [open $endFile w] - close $ch -} - - -start_step init_design -set ACTIVE_STEP init_design -set rc [catch { - create_msg_db init_design.pb - create_project -in_memory -part xc7z020clg400-1 - set_property design_mode GateLvl [current_fileset] - set_param project.singleFileAddWarning.threshold 0 - set_property webtalk.parent_dir /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.cache/wt [current_project] - set_property parent.project_path /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.xpr [current_project] - set_property ip_repo_paths /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new [current_project] - set_property ip_output_repo /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.cache/ip [current_project] - set_property ip_cache_permissions {read write} [current_project] - add_files -quiet /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v.dcp - link_design -top pulsegen_v -part xc7z020clg400-1 - close_msg_db -file init_design.pb -} RESULT] -if {$rc} { - step_failed init_design - return -code error $RESULT -} else { - end_step init_design - unset ACTIVE_STEP -} - -start_step opt_design -set ACTIVE_STEP opt_design -set rc [catch { - create_msg_db opt_design.pb - opt_design - write_checkpoint -force pulsegen_v_opt.dcp - create_report "impl_1_opt_report_drc_0" "report_drc -file pulsegen_v_drc_opted.rpt -pb pulsegen_v_drc_opted.pb -rpx pulsegen_v_drc_opted.rpx" - close_msg_db -file opt_design.pb -} RESULT] -if {$rc} { - step_failed opt_design - return -code error $RESULT -} else { - end_step opt_design - unset ACTIVE_STEP -} - -start_step place_design -set ACTIVE_STEP place_design -set rc [catch { - create_msg_db place_design.pb - if { [llength [get_debug_cores -quiet] ] > 0 } { - implement_debug_core - } - place_design - write_checkpoint -force pulsegen_v_placed.dcp - create_report "impl_1_place_report_io_0" "report_io -file pulsegen_v_io_placed.rpt" - create_report "impl_1_place_report_utilization_0" "report_utilization -file pulsegen_v_utilization_placed.rpt -pb pulsegen_v_utilization_placed.pb" - create_report "impl_1_place_report_control_sets_0" "report_control_sets -verbose -file pulsegen_v_control_sets_placed.rpt" - close_msg_db -file place_design.pb -} RESULT] -if {$rc} { - step_failed place_design - return -code error $RESULT -} else { - end_step place_design - unset ACTIVE_STEP -} - -start_step route_design -set ACTIVE_STEP route_design -set rc [catch { - create_msg_db route_design.pb - route_design - write_checkpoint -force pulsegen_v_routed.dcp - create_report "impl_1_route_report_drc_0" "report_drc -file pulsegen_v_drc_routed.rpt -pb pulsegen_v_drc_routed.pb -rpx pulsegen_v_drc_routed.rpx" - create_report "impl_1_route_report_methodology_0" "report_methodology -file pulsegen_v_methodology_drc_routed.rpt -pb pulsegen_v_methodology_drc_routed.pb -rpx pulsegen_v_methodology_drc_routed.rpx" - create_report "impl_1_route_report_power_0" "report_power -file pulsegen_v_power_routed.rpt -pb pulsegen_v_power_summary_routed.pb -rpx pulsegen_v_power_routed.rpx" - create_report "impl_1_route_report_route_status_0" "report_route_status -file pulsegen_v_route_status.rpt -pb pulsegen_v_route_status.pb" - create_report "impl_1_route_report_timing_summary_0" "report_timing_summary -max_paths 10 -file pulsegen_v_timing_summary_routed.rpt -pb pulsegen_v_timing_summary_routed.pb -rpx pulsegen_v_timing_summary_routed.rpx -warn_on_violation " - create_report "impl_1_route_report_incremental_reuse_0" "report_incremental_reuse -file pulsegen_v_incremental_reuse_routed.rpt" - create_report "impl_1_route_report_clock_utilization_0" "report_clock_utilization -file pulsegen_v_clock_utilization_routed.rpt" - create_report "impl_1_route_report_bus_skew_0" "report_bus_skew -warn_on_violation -file pulsegen_v_bus_skew_routed.rpt -pb pulsegen_v_bus_skew_routed.pb -rpx pulsegen_v_bus_skew_routed.rpx" - close_msg_db -file route_design.pb -} RESULT] -if {$rc} { - write_checkpoint -force pulsegen_v_routed_error.dcp - step_failed route_design - return -code error $RESULT -} else { - end_step route_design - unset ACTIVE_STEP -} - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v.vdi b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v.vdi deleted file mode 100644 index e027b6e..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v.vdi +++ /dev/null @@ -1,424 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.2 (64-bit) -# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 -# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Thu Dec 24 23:23:21 2020 -# Process ID: 17637 -# Current directory: /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1 -# Command line: vivado -log pulsegen_v.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source pulsegen_v.tcl -notrace -# Log file: /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v.vdi -# Journal file: /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/vivado.jou -#----------------------------------------------------------- -source pulsegen_v.tcl -notrace -Command: link_design -top pulsegen_v -part xc7z020clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.2 -INFO: [Device 21-403] Loading part xc7z020clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -6 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:47 . Memory (MB): peak = 1485.812 ; gain = 273.438 ; free physical = 630 ; free virtual = 33081 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z020' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1580.844 ; gain = 95.031 ; free physical = 565 ; free virtual = 33013 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: 6b677f95 - -Time (s): cpu = 00:00:18 ; elapsed = 00:00:36 . Memory (MB): peak = 1985.406 ; gain = 404.562 ; free physical = 268 ; free virtual = 32718 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 6b677f95 - -Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.08 . Memory (MB): peak = 1985.406 ; gain = 0.000 ; free physical = 268 ; free virtual = 32717 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 6b677f95 - -Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1985.406 ; gain = 0.000 ; free physical = 268 ; free virtual = 32717 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 6b677f95 - -Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1985.406 ; gain = 0.000 ; free physical = 268 ; free virtual = 32717 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: 6b677f95 - -Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1985.406 ; gain = 0.000 ; free physical = 268 ; free virtual = 32717 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 6b677f95 - -Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1985.406 ; gain = 0.000 ; free physical = 268 ; free virtual = 32717 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 6b677f95 - -Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1985.406 ; gain = 0.000 ; free physical = 268 ; free virtual = 32717 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1985.406 ; gain = 0.000 ; free physical = 268 ; free virtual = 32717 -Ending Logic Optimization Task | Checksum: 6b677f95 - -Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1985.406 ; gain = 0.000 ; free physical = 268 ; free virtual = 32717 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 6b677f95 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1985.406 ; gain = 0.000 ; free physical = 268 ; free virtual = 32717 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 6b677f95 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1985.406 ; gain = 0.000 ; free physical = 268 ; free virtual = 32717 -INFO: [Common 17-83] Releasing license: Implementation -22 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:21 ; elapsed = 00:00:39 . Memory (MB): peak = 1985.406 ; gain = 499.594 ; free physical = 268 ; free virtual = 32717 -WARNING: [Constraints 18-5210] No constraint will be written out. -INFO: [Common 17-1381] The checkpoint '/home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file pulsegen_v_drc_opted.rpt -pb pulsegen_v_drc_opted.pb -rpx pulsegen_v_drc_opted.rpx -Command: report_drc -file pulsegen_v_drc_opted.rpt -pb pulsegen_v_drc_opted.pb -rpx pulsegen_v_drc_opted.rpx -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new'. -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/colin/Xilinx/Vivado/2018.2/data/ip'. -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Coretcl 2-168] The results of DRC are in file /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_opted.rpt. -report_drc completed successfully -report_drc: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2060.742 ; gain = 43.320 ; free physical = 214 ; free virtual = 32674 -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z020' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020' -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2063.742 ; gain = 0.000 ; free physical = 210 ; free virtual = 32671 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 691c43b1 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2063.742 ; gain = 0.000 ; free physical = 210 ; free virtual = 32671 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2063.742 ; gain = 0.000 ; free physical = 210 ; free virtual = 32671 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 17826bee5 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2104.754 ; gain = 41.012 ; free physical = 206 ; free virtual = 32670 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 26f7aab94 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2104.754 ; gain = 41.012 ; free physical = 206 ; free virtual = 32670 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 26f7aab94 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2104.754 ; gain = 41.012 ; free physical = 206 ; free virtual = 32670 -Phase 1 Placer Initialization | Checksum: 26f7aab94 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2104.754 ; gain = 41.012 ; free physical = 206 ; free virtual = 32670 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 26f7aab94 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2104.754 ; gain = 41.012 ; free physical = 204 ; free virtual = 32668 -WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer -Phase 2 Global Placement | Checksum: 1c49c0887 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 189 ; free virtual = 32654 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1c49c0887 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 189 ; free virtual = 32654 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1e5eeba96 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 189 ; free virtual = 32654 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1c49c0887 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 188 ; free virtual = 32654 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 1c49c0887 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 188 ; free virtual = 32654 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 11c76aecc - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 185 ; free virtual = 32650 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 11c76aecc - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 185 ; free virtual = 32650 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 11c76aecc - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 185 ; free virtual = 32650 -Phase 3 Detail Placement | Checksum: 11c76aecc - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 185 ; free virtual = 32650 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -Phase 4.1 Post Commit Optimization | Checksum: 11c76aecc - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 185 ; free virtual = 32650 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 11c76aecc - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 186 ; free virtual = 32651 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 11c76aecc - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 186 ; free virtual = 32651 - -Phase 4.4 Final Placement Cleanup -Phase 4.4 Final Placement Cleanup | Checksum: a397bd22 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 186 ; free virtual = 32651 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: a397bd22 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 186 ; free virtual = 32651 -Ending Placer Task | Checksum: 363e4a43 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2216.809 ; gain = 153.066 ; free physical = 202 ; free virtual = 32668 -INFO: [Common 17-83] Releasing license: Implementation -39 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -WARNING: [Constraints 18-5210] No constraint will be written out. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.24 . Memory (MB): peak = 2216.809 ; gain = 0.000 ; free physical = 200 ; free virtual = 32667 -INFO: [Common 17-1381] The checkpoint '/home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file pulsegen_v_io_placed.rpt -report_io: Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.34 . Memory (MB): peak = 2216.809 ; gain = 0.000 ; free physical = 192 ; free virtual = 32658 -INFO: [runtcl-4] Executing : report_utilization -file pulsegen_v_utilization_placed.rpt -pb pulsegen_v_utilization_placed.pb -report_utilization: Time (s): cpu = 00:00:00.20 ; elapsed = 00:00:00.25 . Memory (MB): peak = 2216.809 ; gain = 0.000 ; free physical = 197 ; free virtual = 32667 -INFO: [runtcl-4] Executing : report_control_sets -verbose -file pulsegen_v_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.20 . Memory (MB): peak = 2216.809 ; gain = 0.000 ; free physical = 197 ; free virtual = 32667 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z020' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs -Checksum: PlaceDB: be0f21a ConstDB: 0 ShapeSum: 2a5d5829 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 1d809f0ef - -Time (s): cpu = 00:00:34 ; elapsed = 00:00:29 . Memory (MB): peak = 2259.559 ; gain = 42.750 ; free physical = 160 ; free virtual = 32501 -Post Restoration Checksum: NetGraph: f1a99fb2 NumContArr: e660513d Constraints: 0 Timing: 0 - -Phase 2 Router Initialization -INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. - -Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 1d809f0ef - -Time (s): cpu = 00:00:34 ; elapsed = 00:00:29 . Memory (MB): peak = 2266.547 ; gain = 49.738 ; free physical = 137 ; free virtual = 32474 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 1d809f0ef - -Time (s): cpu = 00:00:34 ; elapsed = 00:00:29 . Memory (MB): peak = 2266.547 ; gain = 49.738 ; free physical = 137 ; free virtual = 32474 - Number of Nodes with overlaps = 0 -Phase 2 Router Initialization | Checksum: 13fff9d78 - -Time (s): cpu = 00:00:34 ; elapsed = 00:00:29 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 165 ; free virtual = 32443 - -Phase 3 Initial Routing - Number of Nodes with overlaps = 0 -Phase 3 Initial Routing | Checksum: 96e098fa - -Time (s): cpu = 00:00:35 ; elapsed = 00:00:30 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 167 ; free virtual = 32445 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 -Phase 4.1 Global Iteration 0 | Checksum: 96e098fa - -Time (s): cpu = 00:00:35 ; elapsed = 00:00:30 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 167 ; free virtual = 32445 -Phase 4 Rip-up And Reroute | Checksum: 96e098fa - -Time (s): cpu = 00:00:35 ; elapsed = 00:00:30 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 167 ; free virtual = 32445 - -Phase 5 Delay and Skew Optimization -Phase 5 Delay and Skew Optimization | Checksum: 96e098fa - -Time (s): cpu = 00:00:35 ; elapsed = 00:00:30 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 167 ; free virtual = 32445 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter -Phase 6.1 Hold Fix Iter | Checksum: 96e098fa - -Time (s): cpu = 00:00:35 ; elapsed = 00:00:30 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 167 ; free virtual = 32445 -Phase 6 Post Hold Fix | Checksum: 96e098fa - -Time (s): cpu = 00:00:35 ; elapsed = 00:00:30 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 167 ; free virtual = 32445 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.000362431 % - Global Horizontal Routing Utilization = 0.00025355 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Congestion Report -North Dir 1x1 Area, Max Cong = 3.6036%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 0.900901%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 0%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 2.94118%, No Congested Regions. - ------------------------------- -Reporting congestion hotspots ------------------------------- -Direction: North ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: South ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: East ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: West ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 - -Phase 7 Route finalize | Checksum: 96e098fa - -Time (s): cpu = 00:00:35 ; elapsed = 00:00:30 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 166 ; free virtual = 32444 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 96e098fa - -Time (s): cpu = 00:00:35 ; elapsed = 00:00:30 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 167 ; free virtual = 32445 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 3d9d23af - -Time (s): cpu = 00:00:35 ; elapsed = 00:00:30 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 167 ; free virtual = 32445 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:35 ; elapsed = 00:00:30 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 200 ; free virtual = 32478 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -51 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:38 ; elapsed = 00:00:33 . Memory (MB): peak = 2279.602 ; gain = 62.793 ; free physical = 200 ; free virtual = 32478 -WARNING: [Constraints 18-5210] No constraint will be written out. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2279.602 ; gain = 0.000 ; free physical = 199 ; free virtual = 32478 -INFO: [Common 17-1381] The checkpoint '/home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file pulsegen_v_drc_routed.rpt -pb pulsegen_v_drc_routed.pb -rpx pulsegen_v_drc_routed.rpx -Command: report_drc -file pulsegen_v_drc_routed.rpt -pb pulsegen_v_drc_routed.pb -rpx pulsegen_v_drc_routed.rpx -INFO: [DRC 23-27] Running DRC with 8 threads -INFO: [Coretcl 2-168] The results of DRC are in file /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file pulsegen_v_methodology_drc_routed.rpt -pb pulsegen_v_methodology_drc_routed.pb -rpx pulsegen_v_methodology_drc_routed.rpx -Command: report_methodology -file pulsegen_v_methodology_drc_routed.rpt -pb pulsegen_v_methodology_drc_routed.pb -rpx pulsegen_v_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 8 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file pulsegen_v_power_routed.rpt -pb pulsegen_v_power_summary_routed.pb -rpx pulsegen_v_power_routed.rpx -Command: report_power -file pulsegen_v_power_routed.rpt -pb pulsegen_v_power_summary_routed.pb -rpx pulsegen_v_power_routed.rpx -WARNING: [Power 33-232] No user defined clocks were found in the design! -Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -62 Infos, 5 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file pulsegen_v_route_status.rpt -pb pulsegen_v_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file pulsegen_v_timing_summary_routed.rpt -pb pulsegen_v_timing_summary_routed.pb -rpx pulsegen_v_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max, Timing Stage: Requireds. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs -WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. -INFO: [runtcl-4] Executing : report_incremental_reuse -file pulsegen_v_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-545] No incremental reuse to report, no incremental placement and routing data was found. -INFO: [runtcl-4] Executing : report_clock_utilization -file pulsegen_v_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file pulsegen_v_bus_skew_routed.rpt -pb pulsegen_v_bus_skew_routed.pb -rpx pulsegen_v_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max, Timing Stage: Requireds. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs -INFO: [Common 17-206] Exiting Vivado at Thu Dec 24 23:25:58 2020... diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_bus_skew_routed.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_bus_skew_routed.pb deleted file mode 100644 index 3390588d5da71a6f6866045d7ae5646edfab7b0e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 30 lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_bus_skew_routed.rpt b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_bus_skew_routed.rpt deleted file mode 100644 index bcfb595..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_bus_skew_routed.rpt +++ /dev/null @@ -1,15 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 -| Date : Thu Dec 24 23:25:57 2020 -| Host : colindrewes running 64-bit Ubuntu 18.04.5 LTS -| Command : report_bus_skew -warn_on_violation -file pulsegen_v_bus_skew_routed.rpt -pb pulsegen_v_bus_skew_routed.pb -rpx pulsegen_v_bus_skew_routed.rpx -| Design : pulsegen_v -| Device : 7z020-clg400 -| Speed File : -1 PRODUCTION 1.11 2014-09-11 ---------------------------------------------------------------------------------------------------------------------------------------------------------------- - -Bus Skew Report - -No bus skew constraints - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_bus_skew_routed.rpx b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_bus_skew_routed.rpx deleted file mode 100644 index 1d835a9759a251302466776fd210e5e98aed5dea..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1053 zcmd6mJxtp`6vyAYKoXtWf}##-hU&y*1|!=fkVcAxk_^xah!R7qF0PXt!NRd!e}ojN zQkP0Bl@Jr%P^XNHjEtxgBO@att<zV$CxkvSi(a^_-&jm}z&Jib=ORTRRNC^c?#|iq3CdZlnpE`X?lQkY#T_;2TrAA-Tsk$i&tJZkm zYV-2)fubpl)y?*v+2!T7-OVfFzv*$_Da$I8>W$6XR#jiy++ga0sxr~-ysW&CRdt@~ zG_}qBK=dH9wr%yzj?4O^X=qGZ%F8V)oEK8BStYXU4%4(kaVfvVM91{qh`o#)rsjoS zNzqD+MYdkkWAP$obgE{CJd=&78gDaAP>Ut4P%117LR08hq^YVa#_V(++Jq`I!YLDP z#TKf^&Lgs#fn2I*IY!SMu-}t~(zF7_^vZ2&72e=G*aZ&;@Co4%;RxXb;S^zrc!qF} zc!6+ScqlUm>!@dn`z z@r2+h!6AV&0_TKW5V$08Mc|s?4S`z%cLdY%lZRQz0)w2`KbH2Kjakeh0|`VYVFgxU HE3W?!Es{`# diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_clock_utilization_routed.rpt b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_clock_utilization_routed.rpt deleted file mode 100644 index d0b15b7..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_clock_utilization_routed.rpt +++ /dev/null @@ -1,145 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 -| Date : Thu Dec 24 23:25:57 2020 -| Host : colindrewes running 64-bit Ubuntu 18.04.5 LTS -| Command : report_clock_utilization -file pulsegen_v_clock_utilization_routed.rpt -| Design : pulsegen_v -| Device : 7z020-clg400 -| Speed File : -1 PRODUCTION 1.11 2014-09-11 ----------------------------------------------------------------------------------------- - -Clock Utilization Report - -Table of Contents ------------------ -1. Clock Primitive Utilization -2. Global Clock Resources -3. Global Clock Source Details -4. Clock Regions: Key Resource Utilization -5. Clock Regions : Global Clock Summary -6. Device Cell Placement Summary for Global Clock g0 -7. Clock Region Cell Placement per Global Clock: Region X0Y0 - -1. Clock Primitive Utilization ------------------------------- - -+----------+------+-----------+-----+--------------+--------+ -| Type | Used | Available | LOC | Clock Region | Pblock | -+----------+------+-----------+-----+--------------+--------+ -| BUFGCTRL | 1 | 32 | 0 | 0 | 0 | -| BUFH | 0 | 72 | 0 | 0 | 0 | -| BUFIO | 0 | 16 | 0 | 0 | 0 | -| BUFMR | 0 | 8 | 0 | 0 | 0 | -| BUFR | 0 | 16 | 0 | 0 | 0 | -| MMCM | 0 | 4 | 0 | 0 | 0 | -| PLL | 0 | 4 | 0 | 0 | 0 | -+----------+------+-----------+-----+--------------+--------+ - - -2. Global Clock Resources -------------------------- - -+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ -| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ -| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 3 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | -+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) - - -3. Global Clock Source Details ------------------------------- - -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -| src0 | g0 | IBUF/O | None | IOB_X0Y28 | X0Y0 | 1 | 0 | | | clk_IBUF_inst/O | clk_IBUF | -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) - - -4. Clock Regions: Key Resource Utilization ------------------------------------------- - -+-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ -| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| X0Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 3 | 2500 | 3 | 1000 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 40 | -| X0Y1 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1200 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2600 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 40 | -| X0Y2 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1200 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2600 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 40 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -* Global Clock column represents track count; while other columns represents cell counts - - -5. Clock Regions : Global Clock Summary ---------------------------------------- - -All Modules -+----+----+----+ -| | X0 | X1 | -+----+----+----+ -| Y2 | 0 | 0 | -| Y1 | 0 | 0 | -| Y0 | 1 | 0 | -+----+----+----+ - - -6. Device Cell Placement Summary for Global Clock g0 ----------------------------------------------------- - -+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | -+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -| g0 | BUFG/O | n/a | | | | 3 | 0 | 0 | 0 | clk_IBUF_BUFG | -+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources -** IO Loads column represents load cell count of IO types -*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) -**** GT Loads column represents load cell count of GT types - - -+----+----+----+ -| | X0 | X1 | -+----+----+----+ -| Y2 | 0 | 0 | -| Y1 | 0 | 0 | -| Y0 | 3 | 0 | -+----+----+----+ - - -7. Clock Region Cell Placement per Global Clock: Region X0Y0 ------------------------------------------------------------- - -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| g0 | n/a | BUFG/O | None | 3 | 0 | 3 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) -*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts - - - -# Location of BUFG Primitives -set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] - -# Location of IO Primitives which is load of clock spine - -# Location of clock ports -set_property LOC IOB_X0Y28 [get_ports clk] - -# Clock net "clk_IBUF_BUFG" driven by instance "clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y0" -#startgroup -create_pblock {CLKAG_clk_IBUF_BUFG} -add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] -resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0} -#endgroup diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_control_sets_placed.rpt b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_control_sets_placed.rpt deleted file mode 100644 index af2938e..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_control_sets_placed.rpt +++ /dev/null @@ -1,67 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 -| Date : Thu Dec 24 23:25:15 2020 -| Host : colindrewes running 64-bit Ubuntu 18.04.5 LTS -| Command : report_control_sets -verbose -file pulsegen_v_control_sets_placed.rpt -| Design : pulsegen_v -| Device : xc7z020 ---------------------------------------------------------------------------------------- - -Control Set Information - -Table of Contents ------------------ -1. Summary -2. Histogram -3. Flip-Flop Distribution -4. Detailed Control Set Information - -1. Summary ----------- - -+----------------------------------------------------------+-------+ -| Status | Count | -+----------------------------------------------------------+-------+ -| Number of unique control sets | 2 | -| Unused register locations in slices containing registers | 10 | -+----------------------------------------------------------+-------+ - - -2. Histogram ------------- - -+--------+--------------+ -| Fanout | Control Sets | -+--------+--------------+ -| 2 | 1 | -| 4 | 1 | -+--------+--------------+ - - -3. Flip-Flop Distribution -------------------------- - -+--------------+-----------------------+------------------------+-----------------+--------------+ -| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | -+--------------+-----------------------+------------------------+-----------------+--------------+ -| No | No | No | 4 | 1 | -| No | No | Yes | 0 | 0 | -| No | Yes | No | 2 | 1 | -| Yes | No | No | 0 | 0 | -| Yes | No | Yes | 0 | 0 | -| Yes | Yes | No | 0 | 0 | -+--------------+-----------------------+------------------------+-----------------+--------------+ - - -4. Detailed Control Set Information ------------------------------------ - -+----------------+---------------+------------------+------------------+----------------+ -| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | -+----------------+---------------+------------------+------------------+----------------+ -| clk_IBUF_BUFG | | O2 | 1 | 2 | -| clk_IBUF_BUFG | | | 1 | 4 | -+----------------+---------------+------------------+------------------+----------------+ - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_opted.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_opted.pb deleted file mode 100644 index 0158a2ad826bcd75c8436a6a29252340aee67559..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 37 scmd;LGcqtV(KDRH% - Ruledeck: default - Max violations: - Violations found: 3 -+--------+------------------+----------------------------+------------+ -| Rule | Severity | Description | Violations | -+--------+------------------+----------------------------+------------+ -| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | -| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | -| ZPS7-1 | Warning | PS7 block required | 1 | -+--------+------------------+----------------------------+------------+ - -2. REPORT DETAILS ------------------ -NSTD-1#1 Critical Warning -Unspecified I/O Standard -10 out of 10 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: O[7:0], clk, valid_out. -Related violations: - -UCIO-1#1 Critical Warning -Unconstrained Logical Port -10 out of 10 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: O[7:0], clk, valid_out. -Related violations: - -ZPS7-1#1 Warning -PS7 block required -The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -Related violations: - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_opted.rpx b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_opted.rpx deleted file mode 100644 index b13a398b79cf2d42692c6bf02c58c12a23e1d833..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4260 zcmeHL-)dVo7`J0^yp%H2Q3&46M|aVrxM#83wIg>)oIy&6gYBfOp&0ob*+=A9I+b+n zgl(|P-VDYbVNb9}*vsq%cC*XvC!I5I9$hGdtgyuwvL$``^wal8KYjkNR4Uc(*6%i| z?XA|6;L*L?$GgK*p?ch8i8Z^JUaPc%_MP=KOAMcIIh+k69S&7$c@*gMV*OWq-j6G3 z>7w*?dF6Gv{B61PUFBA%w?~DRA6KzSxxUhrp`n0tBdbPDhDzl`5)=*}+UulY!v~kew z4|a|^JH5^(%~+Cgy5HIVZ0F=~aKA}H8p~PaR57HXgg*azZKB zW2L7|hP+7*0}Ldfe*$Y==K6_WSk0##5DYg3kcds$gp*Z%uZYh?$ep;`*#S}#5RIFk z$0CeLSi(UH5bz!i6na_%2G(F|CRBn`CEA{0*;#hNqdMrycfL5v%dt< zvLZtpQdz=r)pW{b#G}ooj~ah=H;9niCd2J8)e265@%#Iy`@Ms~=k!Gpv0u^I1OhGv z!kCBWhVuA)84w+H2m9OfJmwN=onxINPQ{Exih95Xr;as)U9!+-n$rdkCIKZZlVLp6 zPGArw&T|e3u(2r0{Zb4$8#4+lgvKI)@nlrUFk6hk(3EQaFjnfE5L7fr4DsO~?m*C| ze!^iB(=oMAh~2X%zWv0vkA3^dw{73HeEWu!{l2==+VaiM)z;drTBTlTl)F@VP+GfPtD{pv zj{EKhwFbKHEwbGWet89lpX?oU!QqV)&>&bav6H2Z!|vW@K}-K*mQIDlizqkGb+fbqsSwQW9uv94EiGu;JuBXxr - Ruledeck: default - Max violations: - Violations found: 3 -+--------+------------------+----------------------------+------------+ -| Rule | Severity | Description | Violations | -+--------+------------------+----------------------------+------------+ -| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | -| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | -| ZPS7-1 | Warning | PS7 block required | 1 | -+--------+------------------+----------------------------+------------+ - -2. REPORT DETAILS ------------------ -NSTD-1#1 Critical Warning -Unspecified I/O Standard -10 out of 10 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: O[7:0], clk, valid_out. -Related violations: - -UCIO-1#1 Critical Warning -Unconstrained Logical Port -10 out of 10 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: O[7:0], clk, valid_out. -Related violations: - -ZPS7-1#1 Warning -PS7 block required -The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -Related violations: - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_routed.rpx b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_drc_routed.rpx deleted file mode 100644 index b2810b108394c21650945fcbae7a2a429cacd83d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4261 zcmeHL-EJF26t-i@F{vu7qDsZw9F>bEmAk|)v?zC}9i&uI9NA7P8dcVKckCTyJ+qye zwG#mnm%TwkJOWR^Bk(f305@F1H?xbus6-V~s07KyBs+8FoNvz0d~@ZkDiQ9gz9sXCDwGXyisWd?OX4sSz`E<%h7xk>2Rb~W_c9o^!(1R_<1+3 zq^0xH*X6ZWn_!vLgz#6Qre0a9v^A6&?suAECvEQc z2E*OM?ry)kMRS&9obGk^KHEJ$7~X4=kj8QrIaSQ<)x?YuQwjS8k}%&C&@dK;X6%AO z_Pdc#Nz0`bN|Msh6{=avtQd<#*b7<+8k z2xA_e8OrnXML=}e8}9AUvzSY$b%Aw(I1zIeDe40of;!O*e#t_cX-=CwmHJImAbQgab*R z`UQtg%+dr&C2j6QKnUnat1%{#v?!__>YYB`*?!TaFga_wdJxeF1sFX2;kp#BOYyoC z|K(CdA@)um`SBA!e(cAGer)@(<;T~Q?Dy5R*0vvhuC_LA)GGB#quis?{nEzGS{)x1 z)VTkAuhzilJIiW!lV4uK;m4i*9yq*l3>pNhYUZwMIw;ur2p37amdkh+|EYQji+fg( z>hBmiQDJ^pEiGBvJm__{3R?OfvvevXUPQTju9~F{NQK~b_n627ZfQx=?pg8XJiP+@ zY8X1tz5fhDzbQq3a~VZn*V#XP4X-5Z6~BhB>+CJQgkMus+?cTyK8sp|aLu>Og6PlxG&euHMj)r*YJDHH9SDR(V(wIAbjnI$e{l)VO`4acH p@8~e6bcB8t%?6hYy}+_Z#0}5zZvl7dPsB7stA|!GczV?aeglizz)b)E diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_io_placed.rpt b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_io_placed.rpt deleted file mode 100644 index f8e33cd..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_io_placed.rpt +++ /dev/null @@ -1,442 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 -| Date : Thu Dec 24 23:25:14 2020 -| Host : colindrewes running 64-bit Ubuntu 18.04.5 LTS -| Command : report_io -file pulsegen_v_io_placed.rpt -| Design : pulsegen_v -| Device : xc7z020 -| Speed File : -1 -| Package : clg400 -| Package Version : FINAL 2012-06-26 -| Package Pin Delay Version : VERS. 2.0 2012-06-26 -------------------------------------------------------------------------------------------------- - -IO Information - -Table of Contents ------------------ -1. Summary -2. IO Assignments by Package Pin - -1. Summary ----------- - -+---------------+ -| Total User IO | -+---------------+ -| 11 | -+---------------+ - - -2. IO Assignments by Package Pin --------------------------------- - -+------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | -+------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -| A1 | | | PS_DDR_DM0_502 | PSS IO | | | | | | | | | | | | | | | | -| A2 | | | PS_DDR_DQ2_502 | PSS IO | | | | | | | | | | | | | | | | -| A3 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| A4 | | | PS_DDR_DQ3_502 | PSS IO | | | | | | | | | | | | | | | | -| A5 | | | PS_MIO6_500 | PSS IO | | | | | | | | | | | | | | | | -| A6 | | | PS_MIO5_500 | PSS IO | | | | | | | | | | | | | | | | -| A7 | | | PS_MIO1_500 | PSS IO | | | | | | | | | | | | | | | | -| A8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A9 | | | PS_MIO43_501 | PSS IO | | | | | | | | | | | | | | | | -| A10 | | | PS_MIO37_501 | PSS IO | | | | | | | | | | | | | | | | -| A11 | | | PS_MIO36_501 | PSS IO | | | | | | | | | | | | | | | | -| A12 | | | PS_MIO34_501 | PSS IO | | | | | | | | | | | | | | | | -| A13 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| A14 | | | PS_MIO32_501 | PSS IO | | | | | | | | | | | | | | | | -| A15 | | | PS_MIO26_501 | PSS IO | | | | | | | | | | | | | | | | -| A16 | | | PS_MIO24_501 | PSS IO | | | | | | | | | | | | | | | | -| A17 | | | PS_MIO20_501 | PSS IO | | | | | | | | | | | | | | | | -| A18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A19 | | | PS_MIO16_501 | PSS IO | | | | | | | | | | | | | | | | -| A20 | | High Range | IO_L2N_T0_AD8N_35 | User IO | | 35 | | | | | | | | | | | | | | -| B1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B2 | | | PS_DDR_DQS_N0_502 | PSS IO | | | | | | | | | | | | | | | | -| B3 | | | PS_DDR_DQ1_502 | PSS IO | | | | | | | | | | | | | | | | -| B4 | | | PS_DDR_DRST_B_502 | PSS IO | | | | | | | | | | | | | | | | -| B5 | | | PS_MIO9_500 | PSS IO | | | | | | | | | | | | | | | | -| B6 | | | VCCO_MIO0_500 | VCCO | | | | | | | any** | | | | | | | | | -| B7 | | | PS_MIO4_500 | PSS IO | | | | | | | | | | | | | | | | -| B8 | | | PS_MIO2_500 | PSS IO | | | | | | | | | | | | | | | | -| B9 | | | PS_MIO51_501 | PSS IO | | | | | | | | | | | | | | | | -| B10 | | | PS_SRST_B_501 | PSS IO | | | | | | | | | | | | | | | | -| B11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B12 | | | PS_MIO48_501 | PSS IO | | | | | | | | | | | | | | | | -| B13 | | | PS_MIO50_501 | PSS IO | | | | | | | | | | | | | | | | -| B14 | | | PS_MIO47_501 | PSS IO | | | | | | | | | | | | | | | | -| B15 | | | PS_MIO45_501 | PSS IO | | | | | | | | | | | | | | | | -| B16 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| B17 | | | PS_MIO22_501 | PSS IO | | | | | | | | | | | | | | | | -| B18 | | | PS_MIO18_501 | PSS IO | | | | | | | | | | | | | | | | -| B19 | | High Range | IO_L2P_T0_AD8P_35 | User IO | | 35 | | | | | | | | | | | | | | -| B20 | | High Range | IO_L1N_T0_AD0N_35 | User IO | | 35 | | | | | | | | | | | | | | -| C1 | | | PS_DDR_DQ6_502 | PSS IO | | | | | | | | | | | | | | | | -| C2 | | | PS_DDR_DQS_P0_502 | PSS IO | | | | | | | | | | | | | | | | -| C3 | | | PS_DDR_DQ0_502 | PSS IO | | | | | | | | | | | | | | | | -| C4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C5 | | | PS_MIO14_500 | PSS IO | | | | | | | | | | | | | | | | -| C6 | | | PS_MIO11_500 | PSS IO | | | | | | | | | | | | | | | | -| C7 | | | PS_POR_B_500 | PSS IO | | | | | | | | | | | | | | | | -| C8 | | | PS_MIO15_500 | PSS IO | | | | | | | | | | | | | | | | -| C9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C10 | | | PS_MIO52_501 | PSS IO | | | | | | | | | | | | | | | | -| C11 | | | PS_MIO53_501 | PSS IO | | | | | | | | | | | | | | | | -| C12 | | | PS_MIO49_501 | PSS IO | | | | | | | | | | | | | | | | -| C13 | | | PS_MIO29_501 | PSS IO | | | | | | | | | | | | | | | | -| C14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C15 | | | PS_MIO30_501 | PSS IO | | | | | | | | | | | | | | | | -| C16 | | | PS_MIO28_501 | PSS IO | | | | | | | | | | | | | | | | -| C17 | | | PS_MIO41_501 | PSS IO | | | | | | | | | | | | | | | | -| C18 | | | PS_MIO39_501 | PSS IO | | | | | | | | | | | | | | | | -| C19 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| C20 | | High Range | IO_L1P_T0_AD0P_35 | User IO | | 35 | | | | | | | | | | | | | | -| D1 | | | PS_DDR_DQ5_502 | PSS IO | | | | | | | | | | | | | | | | -| D2 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| D3 | | | PS_DDR_DQ4_502 | PSS IO | | | | | | | | | | | | | | | | -| D4 | | | PS_DDR_A13_502 | PSS IO | | | | | | | | | | | | | | | | -| D5 | | | PS_MIO8_500 | PSS IO | | | | | | | | | | | | | | | | -| D6 | | | PS_MIO3_500 | PSS IO | | | | | | | | | | | | | | | | -| D7 | | | VCCO_MIO0_500 | VCCO | | | | | | | any** | | | | | | | | | -| D8 | | | PS_MIO7_500 | PSS IO | | | | | | | | | | | | | | | | -| D9 | | | PS_MIO12_500 | PSS IO | | | | | | | | | | | | | | | | -| D10 | | | PS_MIO19_501 | PSS IO | | | | | | | | | | | | | | | | -| D11 | | | PS_MIO23_501 | PSS IO | | | | | | | | | | | | | | | | -| D12 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| D13 | | | PS_MIO27_501 | PSS IO | | | | | | | | | | | | | | | | -| D14 | | | PS_MIO40_501 | PSS IO | | | | | | | | | | | | | | | | -| D15 | | | PS_MIO33_501 | PSS IO | | | | | | | | | | | | | | | | -| D16 | | | PS_MIO46_501 | PSS IO | | | | | | | | | | | | | | | | -| D17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D18 | | High Range | IO_L3N_T0_DQS_AD1N_35 | User IO | | 35 | | | | | | | | | | | | | | -| D19 | | High Range | IO_L4P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | -| D20 | | High Range | IO_L4N_T0_35 | User IO | | 35 | | | | | | | | | | | | | | -| E1 | | | PS_DDR_DQ7_502 | PSS IO | | | | | | | | | | | | | | | | -| E2 | | | PS_DDR_DQ8_502 | PSS IO | | | | | | | | | | | | | | | | -| E3 | | | PS_DDR_DQ9_502 | PSS IO | | | | | | | | | | | | | | | | -| E4 | | | PS_DDR_A12_502 | PSS IO | | | | | | | | | | | | | | | | -| E5 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| E6 | | | PS_MIO0_500 | PSS IO | | | | | | | | | | | | | | | | -| E7 | | | PS_CLK_500 | PSS Clock | | | | | | | | | | | | | | | | -| E8 | | | PS_MIO13_500 | PSS IO | | | | | | | | | | | | | | | | -| E9 | | | PS_MIO10_500 | PSS IO | | | | | | | | | | | | | | | | -| E10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E11 | | | PS_MIO_VREF_501 | PSS IO | | | | | | | | | | | | | | | | -| E12 | | | PS_MIO42_501 | PSS IO | | | | | | | | | | | | | | | | -| E13 | | | PS_MIO38_501 | PSS IO | | | | | | | | | | | | | | | | -| E14 | | | PS_MIO17_501 | PSS IO | | | | | | | | | | | | | | | | -| E15 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| E16 | | | PS_MIO31_501 | PSS IO | | | | | | | | | | | | | | | | -| E17 | | High Range | IO_L3P_T0_DQS_AD1P_35 | User IO | | 35 | | | | | | | | | | | | | | -| E18 | | High Range | IO_L5P_T0_AD9P_35 | User IO | | 35 | | | | | | | | | | | | | | -| E19 | | High Range | IO_L5N_T0_AD9N_35 | User IO | | 35 | | | | | | | | | | | | | | -| E20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F1 | | | PS_DDR_DM1_502 | PSS IO | | | | | | | | | | | | | | | | -| F2 | | | PS_DDR_DQS_N1_502 | PSS IO | | | | | | | | | | | | | | | | -| F3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F4 | | | PS_DDR_A14_502 | PSS IO | | | | | | | | | | | | | | | | -| F5 | | | PS_DDR_A10_502 | PSS IO | | | | | | | | | | | | | | | | -| F6 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | -| F7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F8 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| F9 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | -| F10 | | | RSVDGND | GND | | | | | | | | | | | | | | | | -| F11 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | -| F12 | | | PS_MIO35_501 | PSS IO | | | | | | | | | | | | | | | | -| F13 | | | PS_MIO44_501 | PSS IO | | | | | | | | | | | | | | | | -| F14 | | | PS_MIO21_501 | PSS IO | | | | | | | | | | | | | | | | -| F15 | | | PS_MIO25_501 | PSS IO | | | | | | | | | | | | | | | | -| F16 | | High Range | IO_L6P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | -| F17 | | High Range | IO_L6N_T0_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | -| F18 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| F19 | | High Range | IO_L15P_T2_DQS_AD12P_35 | User IO | | 35 | | | | | | | | | | | | | | -| F20 | | High Range | IO_L15N_T2_DQS_AD12N_35 | User IO | | 35 | | | | | | | | | | | | | | -| G1 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| G2 | | | PS_DDR_DQS_P1_502 | PSS IO | | | | | | | | | | | | | | | | -| G3 | | | PS_DDR_DQ10_502 | PSS IO | | | | | | | | | | | | | | | | -| G4 | | | PS_DDR_A11_502 | PSS IO | | | | | | | | | | | | | | | | -| G5 | | | PS_DDR_VRN_502 | PSS IO | | | | | | | | | | | | | | | | -| G6 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | -| G7 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| G8 | | | VCCPLL | PSS VCCPLL | | | | | | | | | | | | | | | | -| G9 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| G10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| G12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| G14 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | | | | | -| G15 | | High Range | IO_L19N_T3_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | -| G16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G17 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | -| G18 | | High Range | IO_L16N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | -| G19 | | High Range | IO_L18P_T2_AD13P_35 | User IO | | 35 | | | | | | | | | | | | | | -| G20 | | High Range | IO_L18N_T2_AD13N_35 | User IO | | 35 | | | | | | | | | | | | | | -| H1 | | | PS_DDR_DQ14_502 | PSS IO | | | | | | | | | | | | | | | | -| H2 | | | PS_DDR_DQ13_502 | PSS IO | | | | | | | | | | | | | | | | -| H3 | | | PS_DDR_DQ11_502 | PSS IO | | | | | | | | | | | | | | | | -| H4 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| H5 | | | PS_DDR_VRP_502 | PSS IO | | | | | | | | | | | | | | | | -| H6 | | | PS_DDR_VREF0_502 | PSS IO | | | | | | | | | | | | | | | | -| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H8 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| H9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H10 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H12 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| H13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H14 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| H15 | | High Range | IO_L19P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | -| H16 | | High Range | IO_L13P_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| H17 | | High Range | IO_L13N_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| H18 | | High Range | IO_L14N_T2_AD4N_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| H19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H20 | | High Range | IO_L17N_T2_AD5N_35 | User IO | | 35 | | | | | | | | | | | | | | -| J1 | | | PS_DDR_DQ15_502 | PSS IO | | | | | | | | | | | | | | | | -| J2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J3 | | | PS_DDR_DQ12_502 | PSS IO | | | | | | | | | | | | | | | | -| J4 | | | PS_DDR_A9_502 | PSS IO | | | | | | | | | | | | | | | | -| J5 | | | PS_DDR_BA2_502 | PSS IO | | | | | | | | | | | | | | | | -| J6 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | -| J7 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J9 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | -| J10 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | -| J11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| J14 | | High Range | IO_L20N_T3_AD6N_35 | User IO | | 35 | | | | | | | | | | | | | | -| J15 | | High Range | IO_25_35 | User IO | | 35 | | | | | | | | | | | | | | -| J16 | | High Range | IO_L24N_T3_AD15N_35 | User IO | | 35 | | | | | | | | | | | | | | -| J17 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| J18 | | High Range | IO_L14P_T2_AD4P_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| J19 | | High Range | IO_L10N_T1_AD11N_35 | User IO | | 35 | | | | | | | | | | | | | | -| J20 | | High Range | IO_L17P_T2_AD5P_35 | User IO | | 35 | | | | | | | | | | | | | | -| K1 | | | PS_DDR_A8_502 | PSS IO | | | | | | | | | | | | | | | | -| K2 | | | PS_DDR_A1_502 | PSS IO | | | | | | | | | | | | | | | | -| K3 | | | PS_DDR_A3_502 | PSS IO | | | | | | | | | | | | | | | | -| K4 | | | PS_DDR_A7_502 | PSS IO | | | | | | | | | | | | | | | | -| K5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K6 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | -| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K8 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| K9 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | -| K10 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | -| K11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K12 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| K13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K14 | | High Range | IO_L20P_T3_AD6P_35 | User IO | | 35 | | | | | | | | | | | | | | -| K15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K16 | | High Range | IO_L24P_T3_AD15P_35 | User IO | | 35 | | | | | | | | | | | | | | -| K17 | | High Range | IO_L12P_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| K18 | | High Range | IO_L12N_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| K19 | | High Range | IO_L10P_T1_AD11P_35 | User IO | | 35 | | | | | | | | | | | | | | -| K20 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| L1 | | | PS_DDR_A5_502 | PSS IO | | | | | | | | | | | | | | | | -| L2 | | | PS_DDR_CKP_502 | PSS IO | | | | | | | | | | | | | | | | -| L3 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| L4 | | | PS_DDR_A6_502 | PSS IO | | | | | | | | | | | | | | | | -| L5 | | | PS_DDR_BA0_502 | PSS IO | | | | | | | | | | | | | | | | -| L6 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | -| L7 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L9 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | -| L10 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | -| L11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| L12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| L14 | | High Range | IO_L22P_T3_AD7P_35 | User IO | | 35 | | | | | | | | | | | | | | -| L15 | | High Range | IO_L22N_T3_AD7N_35 | User IO | | 35 | | | | | | | | | | | | | | -| L16 | | High Range | IO_L11P_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| L17 | | High Range | IO_L11N_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| L18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L19 | | High Range | IO_L9P_T1_DQS_AD3P_35 | User IO | | 35 | | | | | | | | | | | | | | -| L20 | | High Range | IO_L9N_T1_DQS_AD3N_35 | User IO | | 35 | | | | | | | | | | | | | | -| M1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M2 | | | PS_DDR_CKN_502 | PSS IO | | | | | | | | | | | | | | | | -| M3 | | | PS_DDR_A2_502 | PSS IO | | | | | | | | | | | | | | | | -| M4 | | | PS_DDR_A4_502 | PSS IO | | | | | | | | | | | | | | | | -| M5 | | | PS_DDR_WE_B_502 | PSS IO | | | | | | | | | | | | | | | | -| M6 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | -| M7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M8 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| M9 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | -| M10 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | -| M11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M12 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| M13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M14 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | -| M15 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | -| M16 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| M17 | | High Range | IO_L8P_T1_AD10P_35 | User IO | | 35 | | | | | | | | | | | | | | -| M18 | | High Range | IO_L8N_T1_AD10N_35 | User IO | | 35 | | | | | | | | | | | | | | -| M19 | | High Range | IO_L7P_T1_AD2P_35 | User IO | | 35 | | | | | | | | | | | | | | -| M20 | | High Range | IO_L7N_T1_AD2N_35 | User IO | | 35 | | | | | | | | | | | | | | -| N1 | | | PS_DDR_CS_B_502 | PSS IO | | | | | | | | | | | | | | | | -| N2 | | | PS_DDR_A0_502 | PSS IO | | | | | | | | | | | | | | | | -| N3 | | | PS_DDR_CKE_502 | PSS IO | | | | | | | | | | | | | | | | -| N4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N5 | | | PS_DDR_ODT_502 | PSS IO | | | | | | | | | | | | | | | | -| N6 | | | RSVDVCC3 | Reserved | | | | | | | | | | | | | | | | -| N7 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N9 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| N10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| N12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| N14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N15 | | High Range | IO_L21P_T3_DQS_AD14P_35 | User IO | | 35 | | | | | | | | | | | | | | -| N16 | | High Range | IO_L21N_T3_DQS_AD14N_35 | User IO | | 35 | | | | | | | | | | | | | | -| N17 | | High Range | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| N18 | | High Range | IO_L13P_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| N19 | | High Range | VCCO_34 | VCCO | | 34 | | | | | any** | | | | | | | | | -| N20 | | High Range | IO_L14P_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| P1 | | | PS_DDR_DQ16_502 | PSS IO | | | | | | | | | | | | | | | | -| P2 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| P3 | | | PS_DDR_DQ17_502 | PSS IO | | | | | | | | | | | | | | | | -| P4 | | | PS_DDR_RAS_B_502 | PSS IO | | | | | | | | | | | | | | | | -| P5 | | | PS_DDR_CAS_B_502 | PSS IO | | | | | | | | | | | | | | | | -| P6 | | | PS_DDR_VREF1_502 | PSS IO | | | | | | | | | | | | | | | | -| P7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P8 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| P9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| P11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P12 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P14 | | High Range | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| P15 | | High Range | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| P16 | | High Range | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| P17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P18 | | High Range | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| P19 | | High Range | IO_L13N_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| P20 | | High Range | IO_L14N_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| R1 | | | PS_DDR_DQ19_502 | PSS IO | | | | | | | | | | | | | | | | -| R2 | | | PS_DDR_DQS_P2_502 | PSS IO | | | | | | | | | | | | | | | | -| R3 | | | PS_DDR_DQ18_502 | PSS IO | | | | | | | | | | | | | | | | -| R4 | | | PS_DDR_BA1_502 | PSS IO | | | | | | | | | | | | | | | | -| R5 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| R6 | | | RSVDVCC2 | Reserved | | | | | | | | | | | | | | | | -| R7 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| R8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R9 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| R10 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | -| R11 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | -| R12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| R14 | | High Range | IO_L6N_T0_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | -| R15 | | High Range | VCCO_34 | VCCO | | 34 | | | | | any** | | | | | | | | | -| R16 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| R17 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | -| R18 | | High Range | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| R19 | | High Range | IO_0_34 | User IO | | 34 | | | | | | | | | | | | | | -| R20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T1 | | | PS_DDR_DM2_502 | PSS IO | | | | | | | | | | | | | | | | -| T2 | | | PS_DDR_DQS_N2_502 | PSS IO | | | | | | | | | | | | | | | | -| T3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T4 | | | PS_DDR_DQ20_502 | PSS IO | | | | | | | | | | | | | | | | -| T5 | O[7] | High Range | IO_L19P_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| T6 | | | RSVDVCC1 | Reserved | | | | | | | | | | | | | | | | -| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T8 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| T9 | | High Range | IO_L12P_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| T10 | | High Range | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| T11 | | High Range | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| T12 | | High Range | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| T13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T14 | | High Range | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| T15 | | High Range | IO_L5N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| T16 | | High Range | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| T17 | | High Range | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| T18 | | High Range | VCCO_34 | VCCO | | 34 | | | | | any** | | | | | | | | | -| T19 | | High Range | IO_25_34 | User IO | | 34 | | | | | | | | | | | | | | -| T20 | | High Range | IO_L15P_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| U1 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| U2 | | | PS_DDR_DQ22_502 | PSS IO | | | | | | | | | | | | | | | | -| U3 | | | PS_DDR_DQ23_502 | PSS IO | | | | | | | | | | | | | | | | -| U4 | | | PS_DDR_DQ21_502 | PSS IO | | | | | | | | | | | | | | | | -| U5 | O[6] | High Range | IO_L19N_T3_VREF_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| U6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U7 | clk | High Range | IO_L11P_T1_SRCC_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | -| U8 | | High Range | IO_L17N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| U9 | | High Range | IO_L17P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| U10 | | High Range | IO_L12N_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| U11 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| U12 | | High Range | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| U13 | | High Range | IO_L3P_T0_DQS_PUDC_B_34 | User IO | | 34 | | | | | | | | | | | | | | -| U14 | | High Range | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| U15 | | High Range | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| U16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U17 | | High Range | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| U18 | | High Range | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| U19 | | High Range | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| U20 | | High Range | IO_L15N_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| V1 | | | PS_DDR_DQ24_502 | PSS IO | | | | | | | | | | | | | | | | -| V2 | | | PS_DDR_DQ30_502 | PSS IO | | | | | | | | | | | | | | | | -| V3 | | | PS_DDR_DQ31_502 | PSS IO | | | | | | | | | | | | | | | | -| V4 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| V5 | | High Range | IO_L6N_T0_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | -| V6 | O[1] | High Range | IO_L22P_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| V7 | | High Range | IO_L11N_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| V8 | | High Range | IO_L15P_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| V9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| V10 | O[2] | High Range | IO_L21N_T3_DQS_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| V11 | O[3] | High Range | IO_L21P_T3_DQS_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| V12 | | High Range | IO_L4P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| V13 | | High Range | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| V14 | | High Range | VCCO_34 | VCCO | | 34 | | | | | any** | | | | | | | | | -| V15 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| V16 | | High Range | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| V17 | | High Range | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| V18 | | High Range | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| V19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| V20 | | High Range | IO_L16P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| W1 | | | PS_DDR_DQ26_502 | PSS IO | | | | | | | | | | | | | | | | -| W2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| W3 | | | PS_DDR_DQ29_502 | PSS IO | | | | | | | | | | | | | | | | -| W4 | | | PS_DDR_DQS_N3_502 | PSS IO | | | | | | | | | | | | | | | | -| W5 | | | PS_DDR_DQS_P3_502 | PSS IO | | | | | | | | | | | | | | | | -| W6 | O[0] | High Range | IO_L22N_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| W7 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| W8 | | High Range | IO_L15N_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| W9 | | High Range | IO_L16N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| W10 | | High Range | IO_L16P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| W11 | | High Range | IO_L18P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| W12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| W13 | | High Range | IO_L4N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| W14 | | High Range | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| W15 | | High Range | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| W16 | | High Range | IO_L18N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| W17 | | High Range | VCCO_34 | VCCO | | 34 | | | | | any** | | | | | | | | | -| W18 | | High Range | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| W19 | | High Range | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| W20 | | High Range | IO_L16N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y1 | | | PS_DDR_DM3_502 | PSS IO | | | | | | | | | | | | | | | | -| Y2 | | | PS_DDR_DQ28_502 | PSS IO | | | | | | | | | | | | | | | | -| Y3 | | | PS_DDR_DQ25_502 | PSS IO | | | | | | | | | | | | | | | | -| Y4 | | | PS_DDR_DQ27_502 | PSS IO | | | | | | | | | | | | | | | | -| Y5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| Y6 | | High Range | IO_L13N_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| Y7 | | High Range | IO_L13P_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| Y8 | | High Range | IO_L14N_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| Y9 | | High Range | IO_L14P_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| Y10 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| Y11 | valid_out | High Range | IO_L18N_T2_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| Y12 | O[5] | High Range | IO_L20P_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| Y13 | O[4] | High Range | IO_L20N_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| Y14 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| Y16 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y17 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y18 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y19 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y20 | | High Range | VCCO_34 | VCCO | | 34 | | | | | any** | | | | | | | | | -+------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -* Default value -** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_methodology_drc_routed.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_methodology_drc_routed.pb deleted file mode 100644 index e0f8955b965a8fae888d67ffd231ba8ecfecdc25..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso - Max violations: - Violations found: 3 -+-----------+----------+-----------------------------+------------+ -| Rule | Severity | Description | Violations | -+-----------+----------+-----------------------------+------------+ -| TIMING-17 | Warning | Non-clocked sequential cell | 3 | -+-----------+----------+-----------------------------+------------+ - -2. REPORT DETAILS ------------------ -TIMING-17#1 Warning -Non-clocked sequential cell -The clock pin O_reg[0]/C is not reached by a timing clock -Related violations: - -TIMING-17#2 Warning -Non-clocked sequential cell -The clock pin count_reg[0]/C is not reached by a timing clock -Related violations: - -TIMING-17#3 Warning -Non-clocked sequential cell -The clock pin count_reg[1]/C is not reached by a timing clock -Related violations: - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_methodology_drc_routed.rpx b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_methodology_drc_routed.rpx deleted file mode 100644 index a293d1c9970c077537efed4b2e31a4ceecb2604b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 795 zcmb1UU|`@76A+VPGcqtV(=%4$4RDGN%ghf-EiTO|DUJh5b1@t0840Ntl;#wtrl;n` zm&NC%mSp6o+0cT)$ucA(RROG4p&&C)!9TtzH9guOR^M46vsfW7 zzeJ%ZH8D8@Xiie4LZU)RW-ib!h(AWR~03JZmnW1VOrjTq40RZ?z0RV7sS1rt)n7!=nVw05} zgII~fW_Hv$v%duE%(9uOP~p-dMTyR?!OJCDEn~h+oh>NC{%o{C9=Y+}D7$Z|;1eP- zSJ~#Iib3^O1wDBURxH4uZ(vH!CmsyEtPDhur=LOo7O(CIciqnYqo;vfJ#St97y^D+=gJky4 z%>?LOtaS7+!VW63=&G@_67{!I=7{tBr)@@wYs`evbbX$BgsIqIr=`^th4QN1UbgKh zqMxAi>QZ4)D-!6$B~>LbZkVz8*sZjYdEkHk=I*f1_}0|wVQ@CTW9i+1HJ(<<%kKE8 zkldln$Iyr`1j}BVD^2}TE@#$nMaiUSEY+Xz?(A6K?M!mru`6Qb`B9fD?h#VuujM!< zj)e+<1pufS0RYsu<#2MhbG5X#bTIZ{wzRNX`fTXB!h;=le#OXXV7FN~#05P+IcapJDN|MF1{S$op+6kEBPK(NcN#hLBD#KOZAL z+;BVD-+Us>zk}X_DPK(gh4`(kHrS~fsoQ$P@ca3L<1dOEgY6|+qM#ES!}zOo9^aG1 z3hmofluWUi`4jxF@6H{(fh`aqO}Pr#qGlb6`YgLpiQ?e<#Yea zy4{(LTA?@o>ih{_<>M?u_5FkP7tj=6|;lCV$P8ol}i7vCyCtsI+YSO8il(K6>Sh4|dIT=W50 z$Lc-P1*8;zp}DvgkxNf03gI5cff@VcN}1?xaoy_!8hle{yh*8b>GrmzVGc21K9Uhk z0OwlmO6f1y$HaHr);$rx4l<+o8nou3KKs+HcGnFTu9p?5T~LsH%f@>>YS#fb*=(Xx zxhPk2-vEct2NP+my~fnsotX@LkEzyG;!um~5XWVtCf8|rzyz441vEpX59g{c0ZoTQ zg$GT?J`WF{oSxw1pN*OOozf0dd%D=0vv}nE`3_4DDfv0<)BRuRb}$)U*_jLWnRhTN z*WL!xz_%-1Z-X!6o&+U^Nmr7xaDr#~M*OFJYfEuPD*Sfxf+U48?>`z6=PXlU8STz7 zL8TDnXIl$woHL(E4`tZahKB)j27tc#tY8Xusyw2KkdSk_C{^|f*|A1nQrp7y_l~Ct zFPRLfA{Oj)!&<#Neq;Fjh*ae4TIGI;!R6PI4F_ckNucK;;wCv{+|=tKmG%hN!545n zSy*-Ll^~>G=J_S>3;hWEUdoA4NV7mUb)hCfk#?hC2al@aLk+Bua+dRlicDf7| z8c`K$dK$6gn;OHS8fx!x)eTe1Y@I6qob=(Tzn@Tl&#QSw&QMVrQ$e^_!OXKX^!CmV zLiLg_*^A|R*_H0-sTu?$8b?1=A}gmVR^U`+hddRAgqWgHQp$C%>?#+QHAZH}r#!a? zaqQc1r+f(li`lO%(=rUY3(-H;YpDtL_Ah;Cn+s*fkX8~nJgjE@71N8yd{Zcfj+nrn zc;!xR#jJ-GGFhpnR7?ua_-Ks)g?`QyLPn&MgVsG=_82~hn=zNoNmH-ubMmf*u`i(E z)z48WU{k-B`Prl4) zb^Q#FSXjp07vW~oPeq?xdFo-&TF*ZfNi;t}DW9w{uPS7F7HvsaeWW3l3&?8U0Iyh) z37rx?aoNAt5Uecfa=t%PY)hXIntek1d#egvYr}(kQx4;A{g0mb&sJsZ>gI07>|tYJ zXRoaI5t0qN>p*+5LCkPft=e7LES(Y_m$9TZ;k5K4>pOX{*;>Fx8(e2p>&Rr+J$`(H zoBe@%6YXwY)6w0Bq?wDF*e_+$)pn_-rpRBcMSHbi_Tnq2Gv?-zHcU0sEc?tTpP zt>5`veboaCt+`8?6Z)Oz=y zCuW6o$h@+?GNgA-abMtRXqa2pxs_>%c0Q z9IPiL=WE^0S;*&fq(m>uXPr_%B;r!w&1k!RlO;xJ&!J&RR5B>!9aqK02lCZ`Nv@o` zG3Yi4_}g(70Y4n%5deVYH?N`pQ={0Ml(Ir`T&Q^EC&Q6$uI4S-Dv};6P_CVK9{3-uy3VwBj&qEu9Ok9}SpQM7 zS5h=%sUJOK#V@@d&tf%kRdp4MC)9Ago491>59Cf{0woW80ZQ( z+g@Hw*CeXYx#7Jaox$%&{~{w0LJhs)1oF~7naZHrN`z;T8FXZ}p}d?tQveN_-H;sG z4L6KGv=5EDVTu9QnK{=&sjlRu>CvrjptFs2GlaV`pks$7atL^H!dT@Oa|-o6$5W+D znPD)j;DIKDg|;2)AJQ3fI1hnaqioFddg$gyLTMYg0QsthnIS*^-}Zrl9`iNUBjkN* z^DWAmGTv4w)*VLUB1zPOjD0!@;Fc7jU`}}$dZa^pjyE&$btvzlN&(JEm7C-0=--{+;|*WrZKuN(+2Sy(7b5~U@@V1Fa!ji z`hW@X?MlCW)G?j=&sft8lj;~(Q9hJTiL}qP+42L$y(FRZr^Dhm`#DDG{VC+d5SY%( z1BEizm04TKliR_yKY?x?sbA*t!{7*#ealprjaA)XN&BPJhWLk(IH2;tnb{UMjqm(B zecS6Nddq?prh~%oBbQdyYG!RU37K<~VPJZMHS>|9o~=$DOW$$r;GV206&Y2k&~Yq4 zbN^KXMZa{OI4uZ=JgVK>@&{Gg4w9c}cKixWH9RXXMTqtzAXM3hJbpqsaxWQc3R+;o%!Ma4q- zPnPG5stf#;T*V)6!woa{7Gv5^HQ$i8J&&b;R=SpBYXTB}fU~O?v(fo;s2UL&-N%sc z;S%B(lwVy&Z4>rF2B3XISq*X7g6lAQqHUk}mLaX-*Rv}3e=bMiwCWF>AALqKrt*69 zngNBWuZ-lCDmmkSRnn2_Ajo$NPlqQGz2ftEdDV30k=H4Xm4N*k$RZ@UT33ZQ1WHGU z#=WdqZQRTXDM8F>*QzkgOmh@-zQbkCp{rh?CdLmuN9Wp|bX)U567-nE zO4FaNG28J?uh*$-soNvA`M@s2;FtRn zGfRfmk!tkLjYV6&5G`%<5-LBsmd+c^Q@@wK+Y5 zp{zeX;;r9vV+udeGZ0ROk2D@%eOO4@YMhR3e zv3_aEgA1vZr(i;@GkV=xD98H7CNUK!(>^s^Q>8n4HLyuQf>PuwGuFdK_uB3G2>y8P z1zs86Z2>*8!0x^7P4omzC8Iei2oWc|?&Uw7a6cVBjz!uj2w^4!a3o|4Gk8ONGkUt9 z_KzqhTg&k0%nx95`f`5%aGrx98T9ZleEl2rQX+Emd{=k(&=7kx0{M^*b@@8>{q_oq zXdxW*QU`f2>{(m)%0-6w%yTR)9QC3vCM;Bma%kMnmXX(U*S%DyWNyAi774Pzs+xI}I>WLO`EMZ(Oxem^QHSp`Q24N*NYol1Oe(-70@1nNf;0J;B2Oxnp| zNW1)otm4H1ThGj|=+XsM((0cOHBXM&+i^-hb~%liZBqg$6LrgpJwzV6j7vQ6LV)8z zxpwC&+@>Dk7j-JUhRTL*csos^9$_@7z1qO&0o~Y|ib2_> zi}Jnm{)XH%K2oRD%JgZFB-nqQ1)YgJLk+Uh9 z4$^l;(m%gs>%dFI$t&MN$H@W2#Z zPT_4;my0FK&XGcw9mg`?3f(Jfgl0h^sm&ciK5=?k2}Mh$+;d#Vrg9yX;oZK4dkZG{ zIvVAuZj|yS>Dg`1FUGnk-9L3I|5CG<{Q8}C1P9^fS%|k_w9PM1S&3o3UfR#4l@jYl zaFP`-E=Qn)q2JAQvHAQ^T|lNd=_ZFNY^e^3g5rDGDIAb0@&~%`*t_&E=Uga*}*d(iE^y<2yF-pAfun6mEB4BNDpdU-n-hp|9x5b7XmrQl$$-L{#Jv-02p!xhD{&uFlQHFT+n=!9% zS;K#f@wBiSN)|{O--l)4PYtLSmcBt3&ts)8}sQED>#x2z|12TuMM;*@{sA%(Y)gp7j&F;KDtI zf9da?C*pJIyUcyG3*j|KX-^LI3Oir8zwK(2b&6kmvuXLQqx}6P@p6DzhNHdHvGdYm zQR3)bGm*QflxsT-hh8t zGB_;ON1Lmr6mEWf7{3jpyoNsxiw6<-lq43#ei9#w1PgUwc$$#a3~7s zxbOiQr5=631K(sTbC46*s0c_%jBTGT?od3?ek7vK6`Gj{&Q*brE2SnS_Y(Tj@XL)1}y96e@jP|61#p!Xct1^OO537YUtOrRrCW;KPYgk$P zkia^o)Q>_;W@;6x8Ixt+!9|>VGogKSz0m^5J)vOmZ8$Bjes-LUOr}?(PdSkhsJyRF z8RP7?Uh5sxk_po=-_YzBYu{k`*Lc6OClxDd!7%EW?iy7{_eXBJb-DNx)F!{$S=dIk zS72VC$Iv|eHpxjY13`yRkZ1z7z#LJCNCImR5l1X>te}DUDk~dcUFW^i0e!0rHvR{u zj=fye9el;Ha`9)q-2gPzpJUP3$b-1|hKM8qw0uy;Y#CizlDWKAka{x?k_Cu_czG4V zr@1`7!fIsr28Zb}l$TDo%IWc6ALQxQ;tZ0U~r!01LbNHxf_~4mYUfkN30Z1tpi+5o|@9HQ%3WTk}Ws(rJxv#0S;XR{Jdwp`S z{B=cy9&CWXkpbt)TrihGlxfeHTTL@mTRfa8R;MhGQN`_bL85B_;c>%(YC1fo1_a2x zpo`FMt_@yJSI8dtv~f={ni<&nS4k}~cKe?c-3G?JwokYJGM#skWwZ$2X_CPosVZsX z;nvT%M95?1>rRY?50Z>UMWb$LhW>r^HQlxTDHGoCoqAy4vO;2`Fi4-oK(@0LTHWa- z53h*1TqJYrtKEk0&DJ{oiCKl8)l=r3lN4ntrJ%a2^es+^ZAb_0fRpRx2f8Y&=tbgN z_a!DB=C#1&ty$t5E{CnlwOM-c-UZSX_&X9jTrXg?D07FTe`Nh4coVTTq(o&L6FPuX zO5H_TntA%cV9scK3mk<%V$19)u$cdTwv*SyU&RcMAwAI!o@yZkNfXzX#uHdbHO|T6rU?aUUln^+(< zc2hLOR=!(BWutD;3rRF8G0Z!{3oV+2u_W7p!f48l3rs zl5lnZc|mRX2``K4vqsHpn5NDY3%l7$=|srmgI4Ode7#Px`h`zakF?rYTQw+gp=uYM z^kcd0ww=Z3D06xgt9eJo;*?1qkiqDtGamc{`(5|B{%MyOYTvpDU>A~(%69IppuI{j zTnJ{YmLEuh4#selJ8vg`ZbakZoVEP}=qs=kCpi(XkNrx}{&C9VbZu;9mj@v|rOGXPHAWo6bdbhZ6D zv|Nyi$sI=Xyd9XsKQA;xgPOE6+}6dJK!yhL)^9Y?M;BIJtjGb*JG=&`GmQw0G4<^I!Jg#PfexKKTD;|C5IP_YVJNVE^3V zPiqczeap-K*X;iQ{~H7R2e!ld3x10T{$>A_-2Y*D z-YyYr+T5})<&R?vT!@uaizl1;7|JqAH^55wH`CCOE=B1UoDMs zYO#@k-Em7)50$=2G3FSiwEeZCsC1GUu7`Qo&h5uL7!+d_Urx>KzE!zHWbO0bp2!oE z%E4-$a1Y2x4Gm<#oLrH(W*tTqzEkODNm4=DrY z?dF@0$KBg-kNUvmML~;)0+b-R78rTf%~3o4TX^la5-D6&`giWZ7?tw4otRZV!0o%7knWXwXtZS>9@hY@lTTCw5(bS?UoDgL2)Y zqZ}Xb3NE3pdzpHo@@ZuDcV2^NWF1}gt*K`?x^W#<2$)TBC-AzJHO;M+B}b@oXS*u| zkI@zB%XQiU|3irS>5)Q~nle&=Bu@wYo4p)#TO!|pKddDRUxDRfPtr*k|7mRO<&&%) zFX6)sIW$rni^;{eN!&@?utCR@=8ZEbr6UW*9v=uJpn8f(@?O^UC);ps^8Vmt6FwA9U<961v&v=Q2T;qUAmB%f zG%l=P9oVQv&a=Yrkc-TPD8!crRl*>Bhd)f;zVb zc~H-+)6Xu`Gs!(pdbhs+zG&vyy4BNnarUw|!P{T#E+D!~D$FT|;nw4SdE}d_!4U6R zR9HAHW|kz7B*D{ZyCOY3!{f^XgU8sbe!W`545QCUFbR9Vg7P}P?_A=k-K=+yLagWL zjtfls(gNmshG&0A?Bt`ni&2IaLaeH^58eyk9+5i>fje3iAD5TE89+jl2peOW!h zJMmuS471i2F5X_G(6Py}&xHfAAx1~cyqqWGIzduxu;h<>4vm%3z5;Qsmh`&#@^5s3@?ujBl0r&`q)5*noEIH(MNNH)e%oe>^6_HrfahV34(J64a;6W5>ARhtXbMDmgtpv&=~EVQZM zkkS_v<0RouA{zelVV}&A5>u>wD59o`Zo!WfE0a`P)8Hm<_$JrZU1)?)24Fe@&m`zW zB>Puba*}bzQHQ1oLl{j@zIXDmoJ6kVwLYi(ZR4uud$L-)RaiLcq@+>( z0$G}Jet?6Lv@;b+(UgFacvMNYj54~?ePGdvgHi}*B4JTPU=a*QNeid4kQ@tKj>V8X zp>s(=mIa>hd_r!(i4v{AJm;?xQnCC}#V}g@v=b-Z`LMVZuf~)ng%AF7b+viZj!F7a zjK;EQjp3UwHgrY@1N2HXQHep*_U4uAxbxg2aZ>zBH0Y=NJuvXbAyi{>QOzC-UJX;$ znl7(~jqiu&+9yxYn7J>I*el^OBns#>aih`SxQ(yg&Ww)yw{Zd+nzmTM@Q1CU0ug>u zqo;P2OWE<8{P5&MAvvTxUPUfeMD+ebLD<>Iu8_2yUdS7fjdE9nr+;C^|nug!E=t-&1%wBw^FOu`|jC=Q}NXss>|E-pT}wb8hmi^KjH4(TjwAFcU88Rol4*Kj$&S0(wKZFHwAX`lQ| zP;fR=S_nI}!JN26#XUSnT-p8i-0uP?xtyGiABi`D2vedGc}hQ(^W7{Rde*Du()3j%+Y_+Q1`E!kh+5ILu zJc%PQG4dLA;zc^t)ZOx%Jh)LzNSn6cE^JTU+R-ioQODABYT zwe4nU%4m3?xom;7P}2l0VwF=BB^CgmZf0{4cpuT?gT`cHV`0Eyr6(avCeeY<%5;FK zMMcO10$*j^2#3xys{f00Jy9L56J~~Hdq3Cl-4C8E7YFEQq|N4b8)5pv75PJ=dsC1;4 zFNP~aKT<-eH}YDGVeYl`FKJ8Z&gLJmwS03^co2x4rqh=V7l1-;RM@0T zHzUN$w7|+J#Oz(H;U(TCUNl;7S#YJl+q`)L|@QF6Wpp zOL6991}B>x8Mpev1(v>572~{>3%BR~Hwc_fyB4cop`%3_MnZ75ci1tnKN@x{#6{() zPzXC3Cu8#{8E%zyOJ+bH!X)mTHiQ5!o+g0S^b6u2gY4ht(lQCvKCT|NnFj3$A%kjF zD>jKg^`BDB<}FcN7`5xlOiJa4bB}D$hE1_y5wm{sz@S0Pq(;6eVc?l|Yk1cDKnpQn zUcm|Bsi`LgWggqKQX;NO4!)UZomBabOE^*4q%}X*Ab16Oy9-v>%L_hKAVxkbjr-vG zrNU?73+CB#%QsO39J?-z0FEyq%z|5b=viHa5O)5(a9dx+a2OWmwj>I^%gt^VjpsJN z-F}UTg4_L%5>UXX`gs7RUE1?;fNX zDR^%-ZrLRexXP^kkH4rPia}sl;v`!TPdo9w_ZluaW>;VJyKy!~?n&Nas?-`dlqcDK z@7_pL!0`1HBR~IS1m`0oQfPuU9LN23MWpY2AUs+!H*Ek-tKX7ej)XQL3kh9+x_WXD z)nb4EmP6Hccha1O2o_+j*7j5_0&yfEIEeswwiWahJz~CN3j)MVCEnp=YnmrykloQ_ z!(;NI%CtNAPb!0W&6z$a@GcWj>hddkHNMMrC5MEoPR~%iP>xK=LjKL}B&y@b-%EO! z;X`=&{nRegG|U%=C+RbYWRGR08QTzu)e(hsJ-iw;)G!X&8h)wkksdYci@+((M{)C`)FiorW&ip|z?Q9IiT)VlTK5&66#upeF5a{3!ad%c-8we>8> z`ZzjvfjQm;iFc^yoqc707cR|6Na{^7mM?ne?zeMWzi?Z_whv*mFhIKIs`RK+kPguu ztYGL-CX}^YqHQxVGP9Hs9cDx$M15#y@)Q zZJs6izPFruv$6Z<4?}R@*t!SYqHF2|_M6&rPqHE22TIPud)!UBKRl$C`kv>BBh9HM z7gPd0o;jBj?utjD@_bM3cbjN0 z+XDGwA3OIOPhNWqDr{W!`OTH?>%Jc)4ui}f=%f)hrNfRmL#D+fb^;G%SDkpecJvA64QtKU(>7?K7qB0qW!1}XyvJu>>s3swYuWN;*c0+?h1;g>m zMplw>_>lX4_&~Z*a0l|JC9=?R=$y`IEJ~#HjP?d9tCz;@ve2;0*WtnGezn0QwvcmZ zW8LT0p}~{+a-YPQ(?Bw4DPxy2#GU>%TXW!7YAjAADaYjf?7>swB`&drfJ2+;9=8q( zo{aw$t)Xy~WYl2?(L-A+G;6-!L3hcRg64=s=42i;H+nyg)Kh0WaRpy`dx-1RoP1vr zxNx3wwGV=hxzv*J_U^1>t!H`fAesUR6;c4$*dS9Y`;L0QyhaW+5-fBda$+u_Fk^E) zP81{s(a^TZ@+ezCuXN3#e=el6I=HCcW=o5d4Pc<#UtArq(Ct42Lrmg7^z+*phu39f z6^|Imct)@5;;$t?^x|w{IVbfbQ51jOY;*pR%`lx$dU zC&zHb5xJ+8nw-8HR`#Tfn%WBYo3uGA)){zfjG^elF{&(_Wj}*qF|f86t&jx{fG3YF z!*Pl{*{bcGFnnQjmu>%#tfuuUM3?R@Rpi2g~R8nBUr1OQDReZ zrMw_fkT;AJQWxWTwIhkdECsJKVhal@Nr=FPC#k0Z7xxNI!eP0%hs<-G4vL*&F`J-s z(jbp=GGoYkF2curp3F1|0q@27U>mz)P>xAL2buXIt=R8cg+*#l%f!}7zL}C1kCg@X zGgvehysA4AI>eVW`?r-*5kB^s>Q4ale?Kv@a$Yv|0-qGwfsfko|NX>hZe!?REZZ&9 z%K+1Ui`pR@envuTtXdMLee`3Xx#l+|nmLv6a?FzlXIAT+Y(swGW5#|i9M)^Y!PvQr z)H$7t1bA3VFKdJ@x`fep%WV<~jw3cx++CC2;@7Eg5LCadLU67~(zTBQY(vQ<{M48G z>n6(#e;7nHlForp7Hwt8(m*ov%Gh4XtqbJzYcuLoFZGcTW&TID?pcftfUubzojRf5 zm%_1jnkUS~S+wjVSKiRJOePZC3C2?f9&@V4(<_^YwRe#J9xn;|m!?d_Zy@l*X#eMU z-HeP)&e|oDt%vRL7SJbGVV!n&1i}J@i=R*^k@l9OZ+jFAPtrYGr|z5BmFFXqXp#w# z$TR~$pg_%kChZ;aTe|OM0|FLjhDIOw72#R#<*+=4Qj$X)ME53C`_QZ5=cU79=))>J zZ(eUOfu9sE$IDBft3Y_4C$Z?)%MSoV=WFj^FaG<(rT1lM=j;36A>YgO=EsKY3*hXr z^J5pj<1r8(aQ*0W(;e;eG+*g+IoSE`iT}3!IN7qlyko_F8d7_2_izE>`_#VqJiUzn z5N>mu_P$(MF;MyT7vAT_(sr%g)dc{7|F-2i@#5309$8w8|9*7_cm!;nt@>W|*4l8N zqU&nk>Eg1QF224p|9l-#cWqb>ikM6?J@sunLN_g~-2`-BMuqodzVj&_f4ufv)MGZD z?(bN6H?($muAS3=UHj{uzgiLEGkag!cjnr6XF8g#dv|IqUd_$b*}AlDha6IY-Re`# zajJso{r2#F(Z73tKAsJaI8a-8_wLe)xiHELI)R_j$({?-F=NfzYr;jN^1d9h9sasI zkE!fltL|DlUbPl<6Xw>&$(P$gGkEU647bbK2lcdy4q>4*WIi8_;=T8qhr6>4_Nn3b z#IhDXhYvcSQN!iS#>1*wHgWgdl{AGjV}+`rxP7&!`-j~g%KNfv!~0St+o`??j|*an zllyB^YZLq0x_r=f8GeZVYWbiv!Vq95u8WZbhgD!mY0wWB-Zj*dsOVg~QLO?k&3~n% zbD5{3jfbi6`#V#V0L7`bnDYw0F0abpS~!Wt(hh94Y*-fj)*|R0$UTGRWtzshU+?Rq z_KrutRuqa$v&0R}9v;rF-nK8Up6tY|;XP_LLIz-kreemIZ$}RswWa1=O;7Dt%T?Z! zEPjqv{gy%)snUX9B}i5tx_q{G^>*Xdsw#j{MhD++Yija1yaw30eqaPidm)UQU<_L0 z_o2;nG_~+-YOia@8Qf*7dpBY<3Vd;QYisg-o#NAJYj17li1D~9ofh<*3K{1I!1B`#o$wvJIpV|Kj{u&ej<#rh#6R}xdS8MLt;g!rSHaS%1~ zcswBV!p?_aw%x}&Dv84t3MPIYYzlrv^+hREs z%{FjAdxJYJ36v~ifZ))$G3$av$lhJD*X>MPtTDX50sf?oq>i}t2l(k!bZJ&Ibj=qS zU1Yqy>f?}khju6l@&M6w_7Frot9cj9lfh>grEEsH%6Wq`a~Qh-{jGGBY)jTsywi)zR?LUc(P@6;ly1{dEXWVe3Cd+p!0=sKa^qjivK0 z(%={StF3Y?yQGmrdeogY$AkM8{lqR5dn8kGT+}_IjS@7a1WAMxR)6r&zTFR^5Gtjq zVa24`RKt-K5|8RGDSCH8uQqpCSCqhhSonsUlF^0Y)vW&B1V@VKpb2{}UDa8(3{3LShJWBG$ zkj0(fxu7y5moP<^f>fV4uYzK=AS&80@XC&bA z*7n`t?Yg?KQoG}M#q#53(`Vaq(-{BeY#(@3tZsf>F9SUJo;Nn1H!giY*e2+F?%)B_ z(R@$qo3BvruDi<@5Pa;rTbG&dPp{pTZ@rfv6Sjc0K|vMM%67m@TKchN<$EGq=(a9k zb<&p$?Peu;b8CUu$MqWUkeu0P!1r)BT$lmS{dn{J@ZEe{nyp2EoB}#FKP(}APmVus zD>32hc|*3N4WE!P03;!N!P~NiPn03LgICxX0GJTIaQzqPtwx474j8`Pt3$>&Fc@FN zUfrInNxi2@{ijL8CsTC+44;=fPsvB#iod8TR^n&Q=~2Nw;MI; zwF=cvxl-Su0%E45h!-8|b@RUox1`AbPH%6ujXn>Kcf05_(YiEz8Tt5`vV0u~zHbV@ zZ%2;@EA#MuS>B)KZ+64C#f~0zcfFn<2>o9yCp!{+-lX2HPobXggWg01eTWHlC5BXy zZqoFhr1=0^Z`YcW0I!#8lecQOhuY&orRs}i-Cy^|8QvU3@3ozOe58s)OnoWe|HLpt z=}QgWEKla+`*OTLQC|<9EUUj<-yRR5n7*HV1|`MkLkU>oe%-&@Rh^0MO!axQc)7L& zHW@iyD_e-~OAA;k@M@sUv>q`{+pCp+wo>F>O_*feznwSj%-dRgqU$a_3!RiygDgvmzW z&wl=s{Fwx9-rJA;4}#U#+@pG7a?%O5x3spHBPU^e;ue(Yz+Z4ecknq}UA4Ya80Q?) zm-ejD@viBmyK^|EJM7m3?yNGU_GtSOxApAt{bAG-iuM}6YY}8QWt-xDjQ6SU8_N1- zd;q?Fyo&%{CEp&CpD!z~HakareG@+bSRe0}Z;y-5m$p}%K=0;WXJ@}J`di~}C(j4& z)8&wF@|$bF@8X+luW#eqW9i!?u=eTOBlg>4+S{Y%+hgVJChiB%VJG^VYi6E@___DQ z6ExtJ^`m{Sb5n+Kb9)Q*qy3t?qXX#L?i2%f4*Fg`Uw-@WPX6c^^z9t=-8}5{ z4Gf2*x@O~e(gjA=@B1NH5OBkN6P?B2%SE^;$p?^mxn4aUyv)Pjl;s1ID)n7DG;|L7 z@@;kcCVv2U0I%NwuaD1{@Xxk#yIHdzJHCd0ea>k-E-pW2_L_akJTKOBnl3Wm%pKRm zsh=fA6W{WjNTG_fCE!#mNJ6Pq<9g420x0Rf|1{*iKQojz>`0)AGPT^uGSUSs?Gw4T z1uAP>g;%T-r|2*qK3eiSfZ;*}*2GcH2+(20`;`u)jNU8PZI|^^Nv8>xmaSX3(6NM2mWW^dwg65@p`{` zNJkIsrJrTSr>o^23~LWuOUD&Ud+TP)@Xoo0;F|~}Y;yE}x`~%`INTY@T!2FMkfgY0 z8G8HL>j{I;AeH)cYl-(^GJuHN`-Nyc+O`ls`FOB#HXSfgTPd>l*Hkx}uL8*-G3N9S zRa&kd1mH{Z1N`%4^z-HA?PliH=I7oR^8K*9D9AeW?dt|6y#N3s#0NIuRrcwUPnz)q z6XIj_>Ty^0qm}$^(ii{Z8GncGgZMq33ov}R>Glra34H1CIlLD0y^1$QpVN+~dYkN| zQp(<3Dv^76W1i%tTm*5aKO82{;C;TawErc`M|pmlU^Ww41chTFy<~5!Z2O)fA4A>{ zP^A;YSyk}k`;C!`K*rSvK>vlYl&rEkihH}5vSc_dF;)02Gj9z*x%)u_Caan>*>-&m zeAn3wx440pmrm+rEgnugR>D0V*-7TBP~L}HUCW`Wn<`S?H=Oorlj+NYJ0u-DnQ{`0 zT^{5G-1+{tezwB;ktx@3ZrMp^t!YdBV+N!|tKuy!$=VZng;lf}%GX5caWcr-b7rNX z(Q5T!Pu6CY_jQ2W=HuYSmc_*S5w#d>J#;Fdk%ra{+Tu%YWu4y#r^Z!tv<|dNIa=Ej zZ82Cld^T8SZQYim95jY^KG@shbbu*-I~ol+?Nf6RnCf~Sm@GN%k`E-Qn+at%a}j7n zhr(CDDMj}op9d+1Ch5}zK|+_kV=N6e=~G)iXlCfot|MyFtllHV$4`?&7}B!4`Z@}i=oX^ivmvAjt{Vfehi`eypPm-?dT-!G*3z6~n> z>`-dS=JIyp*uB0v$N+HRWM0z2MXNeN2itT({h@Y!wex*+n=dzgYQEFVynF@+3Q5df zm$y!sv-^iLlL?#Gs6uI*FLwili@fbO$N93+ygcMh_940xSJau85SAz1IiVH!s_*yX^SEHe8d!$A`I2xAHPY7Y@ANwcXOgY7SnrtP>t}D+s>t=xDVg z+a~<1%f%l2+Rm3T1>5VwJ7U5+;=w!8<`m+N34g1>JZ3r0P|4EUwg7WGbAFh=Iw{$h znQYUU<2h%Y;K9wCQF%x-c2Jcb&M+Z@aX@tEh}hB$>6N(_UX>DFl@EQW6BJdM*UH$k zjPY(2q0c(Nj%~<+ZODRc$b)UjjBTh=@LneMs-6O_Dv~mA*qWwJIUNqq&*>8;6dor5 zhUMnt?0GFS0cWO%tM!F(Y86wG!Mv{G>kNI8Og>I1|0L zMq?MtCS%333XwY_N8OI28sGVXp?UdX)!)$vJjqh-(EHhz{h1dV^BT?QHMhMLVpY86 zyP;`fZ^B5rR;T5}!I_^E6-t+}f5qsI_StFGVFQTaziIT3i($!x&1E7LbCJ$Spz3BI znLGa^sgikB)R`S$2vc#sjb$wq*2qLUM&Xz~vEiMeeEE@{BU?9+7VY$#7q(D5UB%-z zRwkCc{NaH3SyQoY<@P9L4lsaLe#^bGsYZ0Ukoz7giLLdh706o1)^t%SYUqGH#;L``lI^aI5$(v1qFxRML++Q<<6}0qfLS z0O5iCH*0@LCmo@Xi%`Z_FkSJ4IRnAeT`+wf%6@^oZ#f;|5Jgj}w0T*)E&~|hNVdln0j3Wkp4V1vu49TPT&Y%a0Lc^jbs)Hq$pvtoVLJ$AzdE52S@1qb(ZunT_po| zeSN=vYbSnp6an7-puqkST+^*U(O#gK+w|js4s~QBU+_5J4r@KmU5TQ-0=4@r+@fjl z%1?i(3&O<*^?Uy_$Ul;wWZ;gM-~UQoJ6``uTWm;TP4@P9t09+Bo-c^2e}*xQ2iFk&diCTwVexB_@A>2? zoY_^Xef$y>XV#1$$lvS5Va|f+j@Abw8UQ=Q?dHe`@42x7I$9P8CMtm<5r4ASvao{W zpY%{S)G0YjBZ8GngXg1yl?MVwK*;iPi3oTx+-$duY@d;V+y9jCACtzrkH*cOdx-aK{!W2><|$Kzt=FJ1VM*1O`AFB z!1S1{E?BHCP#GE~R#%gBG$@pnYm}dtMVwTWpH!6fsH$vJmqsKigJbJRVHj5(_Xo+d zW9tf6-S>kour7f8GPE|724ScE+Gh_a!$%n0w~262|4R@xYHS?9PM53-9Q?D)F-Rc*F*sM+kU6S13~Mqp`vpV`Gip^1>Gp`_@^8wH5OLTeet zg$&+3jI%4`XZMy!SS%rdtUjvwnnjEGL^q8GyI}Ema zqx5AJ=banO;ZhEn+BasJ19&S)2P@zk)oYBtJPDp0CffZ#yiZ6lXtmI^{v&a(0cRgL z%^(^}H$Xl*(gPTtThEX-&0eJGUzkP@tzszt)#1uiVUQI8rC%*r29Rjy1M%u1!9~}4 zlT}k-R^psiE=*QRaz|eqoG^insMPhY|Iwd5o-b3r7tA*SvG#0KVDf8d*QA=JC&ubr zzjLc@ZCyHt*B^Jmi~F-D<5J8hb(J+zbp*>D<;i`*3>+{T>F3zXJ$jL_4p6W+Kc}yK zGDOr2(Zzv@1_hl9ID(R2KtZ$B0TWFGYZfHq$LBP~YqQShkPQ^EjQ!RRiWtClfnR-v z38x#lnW!qtV&vMQo2aU$A9z*#wZyw4-JJ1gir6jd#NZEoS#FA^^$;YhTjJTqrG-m( zF=`?ou6aFbq7)u|N2mxVcB~W)Ux@~{$f0#<7uf6Wtj@VMvb_M;XwS||Y-@Mz)GmT{ z3O|(5K|M-xOyan26(Ye=44#H%ZDFoRou;umH&D=^KJuGLAXRIcVH_29_7k?Z7uAWI zYctSY7myDouwMVNJaC;#xSR59T)07FBDS$bLH*E~Er$LfiWE8^zB7d(frCSwB5X(! z-!2A+$KgRzLmHNH-3Dxolxwt4U2fH&TW^qwl+xnS;iw51IU>@3!o32)d6SkksmP%uuFSh$7-ke*HiD%FH;COn` za}eS9prgqg*~ixv9|^s%!atl()UMHeZ8PK2wltgv)~e6ucH*k0n6Q`Lb-Odz-xY+9 zZ3h_-r(1#_*{8|J{R5!R=DY;T>)X^4hl%qTU&K&B#kAe-->5BrHQkjg-QRo)H)yya zJEm7AWsCS%r0$%mZ=s6~yo2>B(Z3N_2Y87TtWl{c(Q%$(c}v26=t9pxA7PJJUvN0e z`B{L%v0HhSOsn3y2MBHR%>kv`IM)u*RsL)kI)-k++oU0hU}1Ka$V_V*F*A5DhQ+ni zVD>c5F3SW_`4bg{ebsZNt7)(i`7)1!h`^FfMw1=OjC2r^nnP4={`KPRCc`S6fqjsN zfqnReGB6&J#SAIC#g-_cJH^h}j!X+P9ZD6P99toR`ra?3W$P!;mayEF2=g##Iyolc zE<5(UH?)pc+ZrzY<)&`h*oaXQ8FT8G9#i|U6ItNdakH_1N|gdX^q8>v_t1|c{}lkM z+JOUMljvyh78b2$4~qsJ=%U%Od&zW-tx~RWbg}5Dk5VLE4Gg)HtFyPKD}H;ddGI_# ze2dgeQY})3e7A&(@mjsxbp%=4eFRw*5NW8{2b4wTKrF7AwlvycrqJlWwe*L7F}pTk zAoD^}s}98EGmS>+F*dQ>Vyb1as~&>YqH*~4%^J?G`A#R7e{eE8DpLrTFO<^<&iM`J z-U3|MKf#9tn@fJ0KBQnI_a`=~B%gz!-s~2m8COm_> zDGhy)2siQk(#SA zhFqbNjvSQ_*O-Das8DE4qde|tCWQg6K#n2)SC7Cspn7ha6|!5c%`7}vk4DD_2lARO zGbGh+MKTjFVk%cGP0Mp{gg6;^2JCIcwu`l#Ywek0p+aSR5HxV-3s_y&{2irhqe>=s z^UKSFgAO03DRVHff#qZ^F|G}0gzvcL*C+W5`k=qL7NhCD;HmuINeAgb{6 zEJ)usw%^(VHR72{RAwSdl-Y9Gb?Z}2mCD~Rm0Lk0lEgAR2(UcTLMZ1}xkGr6POOQt zH^@F=eMPWcnxyV1EXYxSXjA}&C{PLNZ%A*0#F9hL08~w427%@=)J&~H$nv-q{d+&V zxOs#HQQl5BHJWI0>wCVA24YFFO3+C@YPJWyzg&AXf6;~*fzl;0Ldhc{zk{qqaqCbOqS&dQBuE-z!VRpfv5(22jr6*0k)!G2 zY6s29OtDq?!7o77R7HZb4u!AsMk*_;aQMYPm4!w;19!wEA-|oaxNxG}2xKcj7(!nuEQ8#yF zTtcSZEyD*G@3%WMA0jmHFL>j!pg#r3V3WFo5(7r$7Gg)Lu4-}>?M8~mP>^K`RL8qg zsgpDv`*$oW#!ynJnIjHH#`2n(a?K|!bz_G~)_SG&;Mm}?;2meS3wR*ZuORleq>Ys{ z0~Nsv1b>XVyQ{mO3bkb>iraesdYnQ!E{DJF&?aiXC)}WxBDo8zMcA@YHrckIt+i{U zslT` zY$zwekA}B|Y?KbTn)~v+x&J6jfMp81nL8PrM#h|5D7B35c=7&M0{x%uQy?TVnDy9? zzC`*TR}drDFeRRqJTkhbUWmd9ROUmv3y@A4NGaHQFb zLC6v&y5K=iZM>7) z&JDkr=Qg(R2_n9EMr4WrROGA(a;^Hv+@;TcFsE=IaS%70PMsl&3yu546vMTQp;6mD z0d-6VZUVH_pY;uKz#@x0vG^WC#m1u6MpZJxe7hnE0~z4wFiQPGog8c&48})8y#?PQ zkk3y&miS~;<8lC&pD(<6jg)rvXFIICTr3-(Bep+!4jQJ1PiZPIP@Tw zI&~bk@Q%VZ*2pBr+X<(dBfkE9r%D2Y>$A2wGq^!mF?JSI`s~t^Xvw~P@@pxGSogQx zktCzP7bTg8d-_#IdRf+Z<78&w%x&U4`Lf2qzxsDPsDUdD%G#9`dF8@N6vb&{?=Ll> zOcT;eP^nC+-Fz|f#usnS3u$j6Tww>(^&fW1cR`INWx0{@w+F=n-x?Qadqv5%2${Oe ze_&?d3Fx<3EA&l9J_QjcGuT{EysBB_VH6HAg};&?zyOed!q)S^V z*w{mz@Z~@qw*cO8Uf-k89NT8L7W{|)aQH=%Hp^7<_cXFu^zW-g!B{hrI$#7XG9^3q&p`fQ0Q<^!eRYk#b@3F?4Uj=#c7(|zG?{Zc-$5JJF?y9$Zm#_2+B8!Y4Gn6#$TbEVe>~V z`J=Xt3(YB^t&XPNkfVSR&|o}TLPJW&AYmWX_exhIVH_hTe?>@{5u;7x&I8<8w3T!u zkx4GJC6{03%eW{E;QCJ3rB0X;b->6hk1jAn0tS8rZ$qv&!@M*@hBrg@N};kczj=h{ zj$e&p`lN3ko>YqN82~SrW~w3m&B&>0Jrn~5~^b0RL0JnaVDk$_->|Q274%DKe3{2y(`xEQ7h>gsZOY;*adtEotcQ{t_<|EFf zy#0XFER3m{3Ah>M9oqmlzmdSd#dQ|z>lC$;y4W^I;)D8Imt6eTMT_Xtp!1munMy%6 zbUo=KR;F&|A|&+XzxGsTvY^>ShUHzxvkp9u`{1eIS-?gv&X>a~3t%zzV{RdRZyLk8 zGhJL(>1gxz4re5xDcxDBp_WG|n@u+N+}Zsb-dxo=f}Y zzhQ*-uVvNT*I`YKnEIia02~4KMF$Fai!?zxBli%?9$I?xI{B4#`F^=lGFAy=w8T>e z4*6&cXrYP*h~_m+0X%E2rWIv14d6}B2YDHRTrH-EpG^*=Y$Gg31f_(BMX`^ZCny$Q zNRyd}Y0+n+bYA*q&jB~u8$5sRDhEUom!eY}_#A(5TuwL6Vf#ILtyoa<%P?Da^LXp( zfaD-hM##7bsCwpt+69rVonS52Ks6?w?6GcSJ?!n7^izm*XF?+JYf`b*L4|{s$ThRf z*&njL(41($-h+z247O8#*oy+isX{<@8NnY6;jwI&L0k2ms&8tiTD7^d~%Z-=d ztnVh^vWnrdZ(no6?gA*LiP7I;>{S%ifLWM|A9v1$>s)NW5`=){b%C=K8C3`3jI<|Q zXVpbE8l6g!GEi-5%qwZM=*$aU;KC~4wOeV?4-)z2RDLm%_AI9VYh_LU_}e2&{+>nJ zKVE_-!+F%XnO$D`!)XgDi$@sZR}?~je&Qi5i^j#xoSFNPV(vbqXru}RD^^plUG(;s z-YS2mDTFM!^u4+-D8J+3yg-0uQXNR}PM#1Iuq>PG{K0@i?*5DrvQvg{+_0Hyh0W`p zJfB8y`7a&z6YS0ZONRxjvX0am|IuMT<=AXIWeD}sp|>T}ZU)QcP0Wya6YUu!!v1d* z2^km`9EQIHaS?=Qv#TKbvwl*{p=+Ff(XRNrjYb~|rO}2%R%CzLTDF(1hm$NhOFv-W zyhmyXG@}TCW}Tqap&eE_c1W0mgBZg8p9F#krY8G84BrnA^m{1QtO#hiV0(ssyZ-Ql z8P@M%PvW4xL70&JLlAXK-uP{|Nee(h(PcgzXs<;_o5K(_nlFOUGyE|K)I0Hm`GI{% z;snj+U<2!|9DF)i@eyP^5ikZA-c#9b)W=k7*6#zC*Bv?Rif18LPDlw_k2*$pwPK&Mx0bh&(a*b7o z0Ta{)+ZPcNs93JSBMe$I^KFdDvNHIu4oKPsGK}bzMnMUsE#VpV6rpMwNny+zr$s=r zj(qlf8xEBV!`k(S!iKIg4gD%DS_q=^`Oo&wJL{(^`%kSkI$WxXg6SV3?5BK*^M6RI z^M$mCK$%9D@>2!%&3Eehw^cWY|7F}RO(G;xYQ@8}soYi9+Yb%c%n5QGB$|i{es=lx z3H3kB&1{h>iW;w3M%oGtI?}IoG_Mx_&PqpuSQmomNHqVS$}D!vro53qjwwuidEd9 z0advP7EpIS?+YOp;2w2yGZ?Lk!N^!*q9HLs2_tU+26o+-1XRp2mN>bqfjaYle4!l_ zNNI_9izL0)(oAa$pWvYt|2YuYp?+*V|P}Sk5(PgTb+7Xoqi7U(!MPIXU}#HWUzbrLQp}q#$8z+0TEH;!BRc6YzXf*{~~Wz6lW;PgI>| zo!={j{o|LLcd~q(BE(7O>Dt7prG!6baeZ@^(?41ph4%QJeAg`A4uuRUYE!r;HdDM& zaB77FX{ESC(+X*2;3s08K(X?Wem3JIO*$kKS5p~zfrZ=GnNv0^S7a#51nJVro(2=N z5z5*sj<9<&p0(<*AgWmLBB`(-i$CH^%)tBjnc@_0G+@zpbyHUcOwg~iwN;z{0wf@d zt=dF_0>XZ`IV3d|B^JpNS{q56T+DT^?OPqo$mAEmo$_WT1X z|LRQ1IQ6IUs;P>qVSVwnj<#Gw?;oX(idlUN=%+~A0BY6>GqU=8u0hrNnMKLYH7xql zzJG3C>EO?$FX;KjhWfd%|8^o?rhe{nSLx5cnG)4=_%EN5Eb*6>5}jkwz47Z;skrPg z#Nn>FQTR0B4O<@H6%q%B(`7}D()m4ehoRx}0-1QB@HC5^9~^Zd#RbywLY99lW`x`O zOy9?ZCw4*(71D%x)F$&-!#t`o#FULo%~9%OY;nGhs%U)??A21+@26%PH&M@VUKciK zHbNREjTfg&5q20RiIXJyzv%h~=t`QV;UpW|wr$(CosDgCH%>Ojjj^$9b7R}t*f#gS z`#kUW<2&DfPIXmvPt8otJu}sPtE;OCCYzV;IuqH^cxaUrp~hzTafYNuCpMx9Kx`pK z9fRv#@3<|sXJtV!hQdoG#SI%~YRlbi=lFdbFvb$yLG2E1L7QnYYXM9=U3mC)g^Pp& z!tG0T!po4LGfk&_7*7zIem;X90eu0xIFUP@wrY^KiuaK9Wo8q_joe4c&Gfq{XST?g z?zAo1>dBZ5Gz=+jn3(A1mz0&6=|i{D-fv_!blV8tt*u^de1=;++v)O-tihw`cWH)* z-fy@3?@|iGApkJHW@}RAAK4m^XqvFvkZ80Lua|x-`9aD$J4}mMqFudfuc{v*6=`Z;@f&6ZZXlf`;spVm=lK61hTw_lX0ZJmY&LdBqZ2&|Ma0Uc&g zMheE*a@m+`^Y|{&+m=38X-CNNZZXCP;_Q{ydE9|nz9?xUVgU?^7w((S-!aw&F;eg1 znL-#7^k6VM0bYIUgtT7k1rRN`>Pw^UcjFeSF^DcE8Mq6I2QsQQw@jN~k!5|UM0-Fo zZ3?!n6|CCM^b1~pt%YEgfiIGr3e(`s^I z^_2XI%3avKP@eeJ>=9z@0lJ<;*E)AP${=wA!*sKL3WBgQODsV>vq^BqC_J8G8Iy7` zi>uKbEogBK(sPLV)qIMqa>6?Vz!O(nPdw}|@SBx-7(lE(dC+mP{%oTy?{(9pr++dN zLYF;WES;86rU8&luB*ZKD{5t^#`oi3*43ZR5e`+CJu;MeNO=zb`Fb@rU$y|R%Di2lKPKrFai*U{eH)e#<*C;h7l zZN!GIAz$NL#SfdC#Eqweo@QK;-rb*VV9 zuMji&Q5?GW&5}{}RkJ4Hw&=^CR=wU;z+obLg=CbRPJ#3@c!jr2dMhSV9FA`1EeAxN zpMw2<`xwkXYdIymD1??G3A+n~);te;K7?b8Lmyy;Qa_TRNiLEBMb<7UiYMlm>AAQW z4DMJXxbUjAnJ_x_8zSw$&|!VKKwqw3fvHuU=u)NIDeciub)%uFD9u^rR{T6EYuQg} zuVr4WGP81$)s>Xw(nY1;wuM&R$&^y`8EYK^$iy%{NS4rE*AI&!?X$`KTFWKOtUZqk zuh|P;u{mAFW7EgJGlrAy#M~Co!Tx*h6B05Bu1|OQL@n_bPpOK1Kg{A`jZD92aC6pR$BC;zJ3#ql~ks*`v zg@#7W#Z8hATT1M=(2Kr8v+-1Urx)*<K=3=et zuc4js_Wbab2P9+qi=45%5op*0*xj4=h4^CWRt!m_KYY?bDZT#Pq;W~qPK2sj@Zngp zs&f(|7RFK*mqlm$*L6_xmf*y7kbdE*&dE19J2SHvC{UtB)rk&olR6Jdr-9;qv zI2c^~x*sQ6Jh^%Jg?_W=YM;4O(Zh}R%tY$oqI6_1K}_DrcNVu;D7e0_waD<0|1;r& zg2x={`)Z8;FVPoR%68fS1}F&ziP(El2kCooV+Dz9qrkt$ex30I5AG&gDTO)a`#((^ z_%oi-rq+1{J}6NB!`#PwLn3-*N{h)%oa;8~Rq1n#<(yJUVk!pVU}haXq|#PNXfXCJ zqjCqy%|Rz(DJMaR!FXvfcB7st=S7OXQKs^!_* zVu=-;mxVLd7&5IV$SM_Gfl@pkkZFG zUOMIqK^66Qc6N!WQsBz~oX9o$0Y})^aDonzUk^wnwc8?4G#O1o08!Y+7o>{ZHmExS z$VYb-n^EJL7K}dz4;1)@DH^l)P}ioMVeF4uLz0@yo>qE*YkhtSQVI{RZp8*^-nYo}L1)0v_eo9mKR!mES;iY2vi z+I(~B)hSUl)5TXt#8(%gnf5Qgey71sNle+_Uf|y-GHQ6MU*j(K0Zwq2Xd_U zT?oR!oJ0sgU@R_RyhcCnmTaoveTusary6k{s%gz>{EjOZuiF+bep|MLX|ri3ZH*h z8<5x5R1k4g9oTPK${?hWUA1kHKCd%*AnK@~Ggx4F!U2KVJy**hr)ZC=I=-(%=^*0p zuX7nd9Dt^dFp_c0r3EoFmMj##22$_*-Zc*yAG6nD!Ua+x1=q+svNjV!!IUf(8xUaa z^5y#20Td-zFpdfYCAiy|>U*;xeHG=#4_9TQl2ihrnal!QVO)f0quctA#MFz_PP?A{qC`1E znzkRr0DXyg*=ka03M~|R5?xtF@3Q^G7T#4=m(l6P=~FYgQ3^-*`l7#WJmbTs`}lqT zDI!&ox>{0UMNmWAeGX*QMqLUlv_{$8DqA3P8s|lpD|fUNH?88})sh$@yxv{+6}~4w zkUB%8GyOa84s6B%Tg0in!FDUep==|%@Gjvyc3sP5`?#LTDKYMyFfA2=)h1{T zbfgb9$$ZH7p(T>AE`^Xk8BFLPMupKtMpVjsf5HOvqPrF%6;H*fI%-l0HpV~CiyR*7 zO}f+z49it&Y-q7A|N1~7mgGR)U1}1IL^jvdW@$Ph9Y7OG>SOEev+eY#)|W<$-Rfzw z)e)_Wd~{|N$2!$MC|iE`tTr1uMBS8>WXKnN-n$e&xQv&l;?dV12A`u>TcV|O)~>J) zD{|V!@9r&^Mq!h}%w%i&W!v%gSQwbCWhV?jPOZm*=Ri#6g*Tx4bqe8&Rc5|{B(B1( z{95!T@G61TS8I%gH-NslG88n7ysi@uaQ8|6bC7 z?bq3HipcdQD5#%Pn`!D9;8h2`ap7yfPbNVqyw51PB<^?+^aEd*QA?9i|K_C33(dPc ziV;;pvT8pI>bnBck>2bHuM!RjspbHwvZl)J_lU_B=$Xp0E|4>jr55dT!tZ%rq&=ky zv$TpU;~jkUFlGT@sybM#XbN7H{WLnMwZFv@c3=`1b9%fwxi`S?S?JkiMhE`TDhEI$ zd3w_a@D0@X0ucM!BVlgo~)EI@0O&)oOW~bb#Xx^zT z-KlT_6c0;%~VVqylcHvFvjf^g*H7gJl95HAi$s8YX*kg)#a=5+_{HGw~452zc z^6)!i^1kbT8h%7Ptx3IS`E|ac^xU^wzO+7`0FMl{M+EwQDNpROTC`e!7IIBP zdTaN_s%H7+d#Ic*E>{58peyoY$Ur?cQUROja6q!^I;qS zf&VJ<)mokNQ0&Xc6x664@BXFD`LQh!=mjX8tZNSau4jt646lwsLlmAqmEmo3asEEZ zW|{d8ULJ(!^VqI6*`ld$_@?T&bb5jPx>bhA!K$W`p+Vn)?_Q4BC|3w#y8;IL!>x=L z)@Vd4Zq`akFYhG^cS9|5zUFs=?Q*^shhje&FJPKXv#+fj)49JFc8%Rw!=-uvOa$20 zYd+Rcu4pHHBu|#uWIJ-wFHRz(6~gzoCeW-rg{wbyIv<&&Vwz8WVi>Ll zKH3mk)ocbn7Ghd?c3=AONpvnB40g~qq^qn>MvpmIsC%#(b!&awJzBeZd>;Y?{Osz6 zYh1nye7r9%C=g@3c+q9|L{#XgVR|xI<_htWb8&TM|&loC2_o^w~64#sQ*%&Lu6Fa9goi4>*s#j<|vC2A<4%HEB~tB!@8O&BGovX zcIV?xMy!s2zHL)d{-vWw9Ft?*lV7jdl3&giMbyj0`$<9W^lxxqrd;qK>RTD&2-3d{ zUI`1o9N+nEw(>WKx6BEeZy0# zy5I45kwMuV8*PI_qxYG2&0xotqo2$1t>NdO?*rM^L+oCXQOyfmzGu_eH}T~YDYv<_ z(PlZrF~wV#X{FmfNJg8_zc~edP7ereb$x3P+A^GnvfaRMU&T-9Cp~9kj0^7=@Q?rX zi!b~`{&Q{w_H%P{@jxCk7+*gzHCRnkgpr7XsiluxXnFLDL1LZw^VWrP4{$Zg9368x zbShRyYSi%hYBW?<^{`X;&M$qM4}IX&r>OG$p?HL5y$sU3-9CSLLmmcj9f*VA*n92T z)X>VrP`uCLAa_q8);RWUE4Lwj?C^R44#_(f8N8fO|K`DDRK=IBJ{U>gZazl-`a7Uc z8Y&LxoIXjQivvb&4UrXTEi|j?j%bR*r2@t)Q!WfN+gljuQq>sFmc0+^=a%hsPD+|9 znPjvyyXY#m02nyd;o0dWi!xH9)AA(bEUCHaMSwVpm$p|qBDveS;l%gOROYE6Yzx!- z2A0D!lI%cAN#=4@)x^}q#P_ViLOLcd?{b+L7dp)u7Zft9lS)`!+621{w?YY*6KObI z#!GMpEE-h@K?dKZ{4cV2aQ+2YqbRyK&$z!g$^6AN_RznX{??05l7qcL{H^Zb_*?TG z!s!5Ps^(~(xhF?y;N3?M;qL5(ed2F}ivgdD0ZBB!nhjDI0*z=Ha%`b=>uiM?2T30a)%rW7)X(T58ZN$b223tn!9Z< zgYoco#wQLR_w*(g-0Bs+^;!|x(ZZCwy~pl(pVL3Iml?4q20aUhs1nwBTl8j}u|`ye zNj*HX@fN-pXedZM`gyZs&g=xGJ}f9JN|g_1%#y%Z#Z+`6`=~}?tfSr9MX@0`3Z_!L z;VXCPpU^?cSEI7IKy47msDW~j7BvqzH=$4ovlwWnrjm{a&cVkjF2^kqV^;;>0^x!; zPSY}aix~StggGPl>pf)OZ)uZ=M60p(j%|V~B11t!hpP~++%yn_2CbQeLX*02VKgjO zVB83qJlmS)x&4e%Q4An1O#UG2g=oNf4m@X-p zE3P_Ug)9TR@*!T7FA(MQf?wx!3i$0C_ddJ!+VJ)c20r`aoc%kr&Zr2)s&n1oU(^)_ zS2iJLVqCmky}t!`%MQcOUHE!`TpnD!>{ZqB!W6gItt?QNvac8sB5m{F;leIi_{tVe z(j+VaR~lubT6@bD-Xu#8&?LO7k-C?NC+ek=CR+RF(aCb_D$!q$S%{nwXT$NVq##Hk zvh57%&)~hdQLSE~nP!_EbR<>sXRq;)EXio*D8^?YpOMokbv#fF@{W#W`rFbA{$2vv z8QKkN2EnBw-<@^)(i6JTt(UN9R%PWT{A~yqGc`=j#hRNU)yXKxsqI#tgY}jx#JMbl z>i|t?2XvPy2D7J?Pj})Yd*x1MVqnwxo3ATz_bMyudsTMUd0c9i7u^eA_!Cn#BHyVS z|K|A!sHUhk{~T2$OKgY|_oLqK@&re;0*OCOd%~53+dx6JvBeXrWvIbVxpQU%lc!Tu zyj!_O7ID_zYtiUdXrm2-95EFaz09q#>DrPw_EPf7dSod!^c^o(2_!%W-Wo_a{!UoR z{Rnvcemo4Ze#Z9@yn}uPf_{b<>=&`5MrL{J1IG~@heLNszkU?~7^w?V{Lw(Cf1-s( z_VYYYVqX)S#E9tUkse~MQ6?oD?VX~a*VKc-?V&fP_+IDan^m|SIcyo{Dq&8i#WZ3r z7-Q}2;dtvIkR?-s2ZN2x0K9esymmLdc0_#jy~vV}?PO=MgQ7{GLkJJwlMr@f?T@RB zZ`R-kjo+WUVI$~dhWylR$tuZ#!Bd_=C0tB4*vkn zutI>c4-trA+etlZ_Dyn=ZmyS=1;6)DBggGeu!1bedFRvuADGxP4~Q5LJ!yhO4hy|? z_sI6d#(S!*H>|`zz`?iN7 z8f)Y5H=cx6V#d(slqP=J1P!}R>_*h?d&lkP0ONwzCqX_eJLF3Y%i9YnI7Erf z;F>#AC5{e}B^n4S8e$tk4lkOkuqTH1C5(fabLIw^@bS(t2tpUIzD)ME%lmlNgwk=D z_9yg0U;X0iy>uMNPxL#M{E{)hz|PXq$j>)F^ZBv#jENeTBO}1;*78s>gmodHOL&IT z$iGB(TqO3y#?*A<=r+++Jn(H>ahi#a^~7OM?m}p%YawS_&1~+*uw0?$W8n`BQ{-^R z0^x;*{U>3IR?`dLeva~6`nbfWT${z^#jsYtS0i1@>hf%>$qruboaRzMNw(YJhwG=p zLYtQUGnb{$pTh|mrj|P^rQd86Jo!(R!}Sh^fnpAwilr z#lG#Wj3ps@1~P#)|1$US){H;=6lfwyYvhlq?}AcOY2BhP{3H~u>N?55@<%c$iGTPx zGenRwr2$6)K{OHdsF>tNZJWUV#+#@61AeyQ-s!VDfHvwo-MQ&A+-#k6;4(=z-YQls z^X@;#Sz~|KPC{bo^5>M+$m1=1-VU8U>>0OUN#(L)Aq5A9rmacER3JA7VBh3`)i|yB z4zUANl!dARSf>|hx00;{YTY)yu{1`(Ux)d-ByMAy2-K$h(HpubzUfM`J1IreIKE}F zSYzj6t91i3)H@=wN$mn zA1!rF*~WQxAqY=B+*|~`t6Xz^_pW&*it@l)jXqSCC98I-MZt#zcfF~v+kR^X5@Nl0 zGsfO&6;jK-wra>bbJ1IG##=AuuKDM`;7S6dQU49Z7U4*+mlzbdpF7-hIZox8%0>Or za5H9BLQ)j07DEs<9!%WJzv|(s{;*-@Xd(fWiYnM2#}I0imQ8j8foA7&B_fu!D!6Ke zW}SECM>cD9P_ipcIC~>32Ls7wwWxxev}c9#JnGyAD(SB(Q3aU7W{pysz~p9F4f}iw zi$xPySdUFeE_)}vh1ej1ZxiLPo}?R5*Tnq=&`c6u6#cd+TM@OTM3YJi+6!@qh2*7o zPoq`Uq5x#EQdB2sjeev&&eZaG(TJXXfY=!-Y(e3!)!WaAzwvq+3Dcg9f~BJQNtpJv zV-a|(kQF<^eKy?6Wun3b$j{WgX6OW*KM)Bd!sA3qBz1C*Yen3SiFy5yAMnIKM!Kx} z^8>m{zcI~6z5A*JFr87IF|Bb$JR;=>1eb2tPUPI&04yta6S_dHkY=@VoZT6Emk-csA*q5-NkK!rN z1Mg2G0P_V$gvLin(_-Oh75QoizWB8nYr(~Zyg<|WxV^PwIf-y_XYJ$Q+LKZ%0qlsw zRV6Ln9>dn?qq|fMT`NN@zi`*qrPR2F4vPE10({ zaBj@*oY`HouI_t)Z{yS9pmqUpEiD6{wdPr@*{G{(JL6tpupDz}z@_WGX=Mk^!CLA5 z);{}oW+AW7wRh02`^R7yrxgK~^KFi$)h2jVA*xRSZ=zZZ-ec80tv?&ua@iR6{}c-# z|8H>=*IuZ3o>OZ@wZ0&7E&UfOq;BdXYHZ?dY@}51@;Pbbkf;N&L^TXVOD7w~iXMl( zC$tV06+P@6J?g1$8vDAzskqt^HF2b*dN9p^S)6K*OLt9`jAv(m4d?WWs{Du(2$hh+ zB=UuYlb{xSTu@K=_E)IX=r6YV2UGVvuc-N(eMr3hBmD6%?#Cs4Q5)70UO&)ZH_kb_ z@4P;*-yyd7xpQKoAhz{nt!^5Fe*I+HN!LGe>KZ_xY;haY<2_)=zD-f|rYq?LsBMkW z#5oG$ZLHBaUL+42ec@^weaLBz@+3U5UrXZK4*L6|_VY`DD_;7+RU>n^-7&O^{BFcW z1LSHtfL#!;iMf40hMx6;+!eKs7@q>0AxQ0e z7B3wr8nK8Y1#@KI$Y_5HWH*bG16%Jhqyvn*1a~$tWZl%dpS7|OlW_GWs-MLBW%uw= zy6gkG>X04fa$?YQbW`3=lYxR$E;eRpW2A|dhiN)?yD74D1Dh8C+G}hnACs+3m*X^B z6V-CDnW@R$yJAd0Yc;=e4N=iPvcZOLVuABU(;lj$IoM!*wv549)1I!$!@FW5RE^PD zvn?v!1yMc<_q^BI14$7s5H4rHf7hI@5bCsALeEB-yD<&rn7!lyQP#1Y$(& zm9$*Yg0C^FWX6=d*cHZ*ykqgnBzthW1@nBgMaHar1N%HZ4+63kIw+;n4{8wk-{^HO zX}vTidn|!!gT>biEQF*|-`&>%y*}Sh-o4oA z=;U+NIl(x&37^84Ka>?|>g-wMSb+x~%cqi+a6Wm!iESV!JpJ%DN^ zh+M{t9D0fZwU2~%3IG3q6r@hzeId+uU6Esygdt`ADyMsDY0UnbIjElw3Q9^%Akeq_ zvNp*3ql*i~BS{QVkRmxFx(_4OH+U_e(6{26O_pzTX3_A(%c{oh-;@dV=>AlkBr2KD zOdyX8bUT(b=!=Q=}}3>=Y)iykx46w_D7$l`~rlX$z5D? zzK(_SdJgt;cqf4glY1xX#=7wQB6RXmfD*sZllPTKW#Dgnq!oJ7$d8ELY^AtQfV7j_ zP#ssZ=r}`7E?Cp_^QpX@<#iQ%&n|jVKl(TK)ZnqDsasw0VPEWsDPxqeCcc4PrP_!e zCQDe-uS(&L6;!GyY6hzQ%G&l9XyeYdrj4m)Q@+e$KbpFH!{`SXmP1(_3sZVaIi0CP zmI)Yv9}6+U=G-Xx%p1^bJcD8FC>Abh_J@^?;j4y3791tHi_-y`LT@^o!0E4w+{LT_ zP1jdyRiPn$!Uooh0{P%+$-Ljf{Fjbi<0m&>-UCqN)BVZv_-%BEa(q{DNK6PRf=iYq zMMw}C*47dhsd9RXr5h_bzkh-{jB!1CbIRe-<0Ta>R?g>4no2v=pA9qDoMf5C1UrJX zmMsXAau(wgrCOvn8b`0Bxx8>^v{e%>6K^KlIt864pGkE9`ck=Ei|o4E`xy)C8O=%0Y0*PNIVvo=Gyf1k1F! z?!!*uZ8hVuf#bqd;SAvG)NgS)_hIR}T*><_QN1$w7%WeqbA8c~n77MX>?d6WBMI!4 z$3rc~2?HrusQsDo`kbCP_&?)3_X|l!->acZrI&@agY(aw~;O3DdsAjrIm zK|NLaTKWYd{9gKCJtItaca6PD4HWCn_J*2nZye^@R|a#yC9UMV&gM<-MGe!S=(Y=I zHKEZ!VjKeXZv%1yuU55|qHG92#{sJ_q!A5OU+sRuF`KCSuXvni2EdHACQ_hHS zy`~r}75cIA3%9dh`;~5H34G(sSuUkLec0CL9i5LKMsHKK{?jYnnReTj%~!(fthHCV)A}bvA%eUk zsqP@9N-(-u-|t7o{K}xSJ%+JFXZj@05@D#J4XnJ}2jqi^mE7|Bx{a53A+cT#XDaYA zgcP{g8S)r94fVacTCaVacOFOuG#{lqu)g^?oUV3J=SD>g@nsP<5sg;yf_Ast7m37E zWvKAE9hHfB<{Vc-0lOEXOOO7FaSdGk(!1+#w5b&!rDxBUzuV32ou0Wtak6dwZA%Z< zEoq#xt9FV_zH4$U~5lz zTjIWHz?-u4p-#8@VG>wQbRDYJ-YriImvbLlaNlY4(U--jwg|DxgkGO$h~-OuU1`s_ zlK_{{`3nl+KUzk)DPLXeUHG~=#UY+Dyt(z({47k%8vS*7xyw1>;>vP(cMP<8b2(iY zrhHh&Y*@wuSjNDuBqp&ffws`J(!#XT(sVyF$s+nfAO04`&$;rDl>Ue`+7%_N4Z5M7 zYr;eKr=`jLU4I6Km(aTPhu!c~!`S>Z?N=eb9AIv%L@Djn#9m-JV8feckX#I{jXI~B zNZH-vS}IpFklomZ{AS7qmh`64N_h)w)`C%Z%K1$BEj_J?esrZ7lcajJ1Swk;yuT?% z#)?xoIo1}ws&y%>&JkCbD>s7GQ|sY2?*4=O8~*b7jel{FJqB&`LJN(b`j1SUqSka3 zAnC}0*xXkIbVPefOSd9+c&q}(84Wz#fN@~HsTca3Oc*GcSm_ZHMyN9T2a_LUOb{rY z!pt?J$mdJ6Oc^NYsp}CFaPH!V6oRC8hajYP&0wT=Vc?_yQ0mu;3CX;L`y?8U4>L&x zp>&mbB@19R-EWDP73#8?`K21(&;IudeM@i4$xCH#*-6^5vZC=tJF^pAfaC9%#@61u z6PG|S7zlh>>fg$upy8;n+{wsIaIz85YI)WCV+&1mYRlE~1|6*K7k?`Ur)EQ0EY%Y@ zdt?6+*i&U?Ubygf45v~((Eku5)JWS;bu~_!7Nn=uLSMI|`7R|SL4ntM`AIFouE&!Y zB}aqyry@ziEU7ouGyk%L>66*+g^}q8DNzqj@u&vU4?*DZ+~~ZnuUr+>$MFZ z`iGQ(c1ENJz(9HWCo2<{Wx4$3ac%V&G(NIkYyTaiA5NjkqGYKE&9A#VzoU~Naj8p9x7To)?c zXspE+b752LUxwqsp*1<3MJYRz8#zQqR_A3#ju{s{xSNom&CiM&B_(NcGcvO4qEopU zsX>R~5d+p2`?Vudv($wU#%b(qVq=yu2J;cKsF?t^h?5Ss2smad;*pU|R8+Z4QYXgh>ZefcBL^BI%B8GC?l-$k`-kG^j@hBKJ2}cxQ z8Z6AZ#t48&JVRfIhC#hfJTXD<;1KCrkvl_0=%|~8RJnwwg&&I~IB^Buv+7NBmiVA@`Vne|W7 zO&Tgm1yYOxE(Zy=z`SsUE>5dh0>9`Ff|WGJOa-O2gJ0Oy5e|bxI9jD^T*__HqOnDN zBRr5L+!0)nK!DC{GN;g93NR^fQW*o1{{TT=VXU$$J16oFa(D4WUQ!u?gu+;9RVi|s zi=mJ{zd~k(T*!jrjfqlgUN##WC3qfgNYfNo_Hhz9T>-k^Y|QLoR$w8|n0xFeBAsm4 zTfH%_Gy9M?MS(ScKSW7i>sL{31$|_$wRN0>#3V510)vApbug}d$tkSCDxc=*b++zb zPH*%=11|{r3|ZUDi~TPbhCl{_l015caNvR`5dJ8*5Pn`nmA6T7VZNOgWoYZzF8RPO zdC@P~PoHjmVr2uV@+UOl$`?6U(F)xz zNwj7*MNRDzU?*=EGGNQWZIWoRq)ba$%siLO z+K>YaT7<~mseJT5!?U7M7s??&h4s2%lg2%yUshM7Kd~FSgeD$8nSmQv@{e?6M6#@> zIA{{~jhq-Ho=OJomS@6WU>r0%(q477-c(gOkPSlYQ>Bsr7WMf_wAr ztnZuIt&aME%uM?x5Mv`zGM13pJfjGk+!S98ItZXT7ATT$eb1KebmvR(n)f8V@wgG+ zjX8`QjN?s2_rnx|mZ1+oPSoykybg{Z+=U{E{DmZn8buUBZu}cq(U9EBA|%moE6DvlYNQTO{91Mboqu(C~an^RpH2AD1Cx zB5@i_Vs(U3DQ_K_h zSR|=d@vkw*p(v!r`+;d%GO>UOzOp7;#Nd4t9mAzlDJr()OMUg(m)ub*9E$Sdk+lX$oQgdAa>mVSeJ~P!j!40qH7uCoq z$hQytz|pwdIg_SVx5_HRFk~6j;EGbpLRH5XM9a)=7A-RS?=0#$h8i=h`SuDJ zEN&|p#vBG`9eCT8fUm=gmFLv#<=h`_sNZL7mp>|%hJ#0GJmbc9N4e{~NR{Y4z)+(~ zP6v0(c<#BNITO$yZ&y3_lkq!4L>ZA`*#IM5NS<$jaYQ zIPN0AUBvSTQc-V*2Q$a^@X$+BtMJfm5CvnBQIm{``}Mxu3MA30eY ztePvH;o%WTZt+kQ3CRZ6FWQ1y)?FbSTaIA29Hs%U*e^*v;nzYZWuIT?fU6AdFgC`9 zxlJ9jwz(AF^kP_fLorQ|xk5JZnqDFt(0W-%AHrHmypA#;=$Bf}jR!AhMa&_Zj=COj zSPVxdBzZ_uALW^&=W<}eRMyz~n8!ppQL|Qy=chhbxo_?Faeki`-C`6^OY5~Tl3Q#F z#HYEd8kroHc?G6R{f4kGTuMvW*f^1N3Hxhnc_~_~7>E3brn7kgRLv^UZE7j|(9<*w z1UT7IbA}zlD#zESz%;Gx_ZOjP*hTL8(oCmu_6xsZQt}>Xd9uBqOc)zJ!D%MP+jCpQ zKVaO`9euwA+1uAZ_fg*oES=~f9vdRGLj*C-{=`FFa?j!BGT6=)y0ZRet*hPD8Sr%T zciRpKd&7-cDO_Ta?UnD~{u5tN?+<>AqS(QF=D=CBdX3Dak}?k%{fY1u+-M%Yx<3NL zCTEuYN)reGUqU@5ujN*1&;ySJMkameV9^oe4Jc$p2zK8K^hW^~@%~btcBwcApiwzG-DQ|eVL>M>Q!-n9;vJsX5HEJY(; z=FCjUD`lgdr~#U)eNA_J;?F!>T$m`MZM1wdsDjCA7eiEag>4>+HhgCate-g!&?2K5 zd(q)&=se$qC>-F_mShgW${?1KR$NI`-pYw#T7W^*$}q`2+u?2whFk@RM-~rpo>yBv zi3$vv`gP;$u8HXAAerjo*H5x2D*mUmhuPuoU~b>I4dM>w;UZo`H5}#z>K;k^T!n0mJ;FxWku>q6g zrV`?CsUxsD{K7Tc7~~jvCLSZUrnst&jeb_^#vC29N>XgTzn}|u6IX&G0pe}oCTAZW zy!lrGvri`joe>H|O}~JX0L?1}f6S6Ra<5Z43Fa=7VlgWbt)FCwF9cxm;}-^k-V za^sQDcZ4e$ygBPBkBS<6dHp+aT?+;n2psmDZe&mnrP(>HZKvnZ)_q=e*$z1DLLnp;C%L;fkR4cq`S*cSg)R~Y;4HRQxefxH! z*S|S&iH4gMJPv!Q)a+Ywj}U>Le=nyw1VvuMvy3bQ;Py$yldB)%dL^8ePxHt3|G>li z@dJ1bi2RhCrCgY%9jB$7#fh}V$^H9W!rEU*(mnE~$v!HY;hM?DVL^naGyS}+QfQ|k z_!gXUf_U z@C&udvMor{GDU2=VL|nWYHI5O1*3bUoWWa>!=CE0ZP{7V!h`OKPnBDjX1gJsL8QjU zRQ1oz#fOW{kGt>+Izg`Tw1J25w}su&v&DZJx~jEm z&KA#n9@-86VI`ETDbS5jybY|uGN~eGOV$6$YDV4D`mk;y_q_@P@>IS+&G=^kyC4SS zsZ_8gkfl@Z!6@CvU2xnis>`VxgP-B)%*cQ=!OzBtQ8AxlN5_CH6bV)_7RF@{L5I98 z5GiDiCo1di7Y-|%E%YmwtW6U(xF(YH)M0Qm4F!Hj~ zj^*k>!wyv63)%A~y1M20KZZ(wd<8zP9`1XV)gB4css!4WVCR#DbMW0mts}1jdDgzT zHd473GH>en>LyadFT~+{ga*EX9(_g13KnoeeC*{}`SRZ?O5Fwh!n({4b)VGmjR^Ao zzPH2}kZVhUuL)>0EX)AWY;d&`Lir-!Tk^lZa(7|B@JH`LCzRS9q5PyTGsl(nVKR0t zt?yU=$6ncsK#ujKHg)WHP#pQtD!`G)hS7{Q?M@rPlUt&QqKr&`J%xGhDn3=Wzf%oinALYeN$qPmr9(-sto@rtEg&V&+RbJa9>>zeUN_I40B3I+7W zWphKWRk{!(nqX=2MPnM?L;RW2(&NHc%dJ6yt1RNFM72Qln2EFzlkwJK-}_b8nH zA&Yp=s1!jlr+LmR3D&a|w~@ZzNsICd#Gpd~1xdEZl8_D&M~k0Ak6R2K3Hr;J7qT28 zH}OQd^@S6228!$J9-5k4x#!=Q96+LpZ_+@TlrD5Drn+Beut_O{MS_SySf!EYR1~z& zzR>P&O}w3>;5@v@XC{!~G`SoGTSY{hxflCm$48?>%#Es1POG$k+GgamJ^>s=98tG(DM}#6Ff{yXF3w&XeKsK z3bUGlI08h>Mm8A4>&eJ;4vis8t)AmnwqjPOh*lFxS?$OkLnP0+Vphg+>y$Wmt$Ro2 zzq7vSjXL%a2~J&gayxtZ2s-9wG04t4JrgSo?Lm#d6O5=c-w^ZKQ9epbo%h79sK?nY z3#3~z<XCKV5_s0BlOGb(I>;tg%YDdd9b-KQj@O2%=)qEhz|7*T} zd!$5J{j~p5x_v>vd_kXDv_0j5g5XAa{TnDA0eR~Ak0QUwg(!eZlO`Skckv87y!S|v zi}%wB;CKYyG~tQ`$9}gn`#_YVE&HI~*BE#rJVxDL8I0(#%@8Hp>nCp|phETgz?(`* z%6DZSl&cq(0yQPZL3jtM_&xUZ$Rhu>WC)lGyd45Xl@!ZCagrE1N0c&Qe^1gv>?I$VpxXQ{xh0aqDNJ>mQs@$O zrP>T6o=^Rv1d2}w5SjVDXTfH3VMX-)*o`2ZhLMAcY>j;~i1( zhEvI!S~IEDpz%#k`C?O4x$3Q^+-*-(`2s;s`N@~P5+i#8utdd;ad&cY^w=271*yzU zr$eq(5Us8)ta?ttkV>ps zHcLynr$Rs!olYk%lHAe+7TT+osIS75DS=-2+8HI~bswwM6Q7ZUyx7QO8iHdwwrx}H7yL&FP zuvv&i0&h9_nIZQtClJ$62HF7FZ&=1CAX#39zRfhWZDRPa$^!eT`O?sLjdEd>`a|$S zxLL0AV^dOd#pvbY(!tOIn%Vi%$xs5i|57^hV{^s87X$FwJ*lZ22g9v~sYR1NzggPR ziZ)Ts$B;;Pk&p>DDeRZTKuq&QVzZ*L*`WGu&(2jRPpC*eGu4G+sJ*RS$dO9gV2d=n zlZahRX%?lY8^8ET>}vJ3Y45BM+614b)x~fif;Z^a|>^077^V^&_pJW zH!OYYe5#hWTvrPre@lMXCdx9+LHi36i&5$}&U0_qmbx zso7~oaYtFwu>s+6o=lbxt<}}P?f)1~&R0&(I|qk7qtdVT5u=MIZPx)kBRWogc_QG4 zxZe71D^>gYZHf9Ek2u}eZc9BYYj1h&mp))^*G|sox5k(M&nCUNnq1y6I$kh3UNKt! zf7;4a#ppND2#~tJz{0l!0N2jX0^#4BpPA3&Qok3x*Lrf%>E1f7x7@K~gkxUw?|2E~ z4k1vfChzB-j3RxFI6C+2pV5v7jZ&VCcHE!#)Pm!^{|RQddv$cc@mMEhl&SVC+iIo{ zsu|jV)=n__fG_ZUGpznhGTTzGKSRke_GuAgWQ1?`|GV)F<@EUShHq!FWjFdx8_8M2 zvraT;E|g6W%W24y#Tc)6!G%Yw81QLm*|oQmB*f5?x-0c|@fa5%?8lV2$@?5Z02h#7Q`Y(KCxJ$U3V{&3kVp87t-amHC*W2 zs6$ZV|4eQqPng8yxQ)b-bfM3BrjIG&#*)`V7cH_QOB?ZZVWUDr4-IT(Gp{dtvBm62PdhHS6C;r(lch7He+ZrwpOQX`P{hT~+c zHiaIbU-9cV*e{iv>227Xn~R5++lO3tfiSIHaqG+8om)L*4vX=7AvZ+c@ z5ajp0^{Ydo{6956d$-yiLz2Ee-j7z!9!Dp$$f5qS@O^Nkf}=2jdl+$!qIZW>%EMY% zT22?F12jDv5xOoD&C2ga=^MX&ur{|r7b?_{=#(v^)Tr7-EYr{n`S5Nx>NpX+LQGo^ zH(aB$)wvLApiFy{?A)wwXs5Q6w-wRZC_7MTFBfi?##M`VPm^m$pS~M~nSY<)?0aZk z{_%(6o1kdZ-=v+N1=>DVbf~LBux&a6@|lirl$)opZ6O2GMfEanJl$Ennt3Vn^Q{#4 zgqRDJUHip|Z3x3br@ zzpVlmDkBUUL09|wDf?k;5U*Pe{<^<*UTZm)Sck9kAAi|?{Cc<7?=8~grpjg-G&Qvj zv=#I0l1EI4Z66%HK>Hhc(aN{VEv%Iufx>0Jn*fL;zu6wb>6+~;rm6NaW!giz*uwci zS>GmOB7~Ib{$j%9m$Zfru(lUv0htMVz9;*Pi4+XqpRFw4>%#~*7Dt3GE#Iq;WFSDg z>7wAvtgxMvkfS$$5;84>{sm2Q|3sPQeduG0#x`G&8(h>~v!)&WJd*(YktytHZ_C@m zzyYvjoo^q>%V{o9^EO@6Q^;JjmQiqwTbl$DJ^i>~fOsbh^EiA6QYwimAK2xb1yHL4%I{zy1Zs}E3X?a^8 zP_U4-WM??PbW3BYI~a6$PHA^&=LehQp&RZfB_`|(dzH&-a2VV#8@9W1=GFBy0nIO{aJ$q)U4NL6Gk9EW3 zv#Tt*LH5k6x^cBFnPe;UK%8bjnccHUL9w1%fxG}$^uf#duH8yR`F`D86DCV}{Rj2k zLZo%xKgn=%Kbu?Bfw`+eb&K&!zGFF7TzV%4?af8``4eu>8tzp2fXts02{rQspw!f& ziCM7jIhjYb;Z#u!_>cXGmU8a+}2jJo)YIZG~y`53Ity8WaoS!H&4H+0~ zUV9>Ckv7Dr!e#Tcg6J%d9Kj19=uj#|YtyX^1kqLa8PHY28PH8SCPUPkCqpo*{w=Ph zlOe8@W)rWcc)5{7Hi^O%1~xH1xkb-J_APee3MVIniacif=PhQ$D?>F$sO|59xq_a_ z8C6dW^nWqcL!)XtCjhd^4WzpL8nsnRK@&O!g*M3qq8yH<5eG+Epo`X4imXtuQQqwZ z8qikF_UAhDCdgb3L@o;xC6-LQVYzg22qhAa--v3*SPc>_I!R}J#q+w`04lE4w|tGv zUyT?}EMyfylP-0J+sKTDv)fwA^S`w^5F~lAYWTHfVdztZYEmp0Wg;a2EBPU;P&J`S z#5ZawKfvm}X=|yZ_p}#&PlFfF9)bQoxdS(xCsHS1bH}uT?r=&_)kJ)k@afx(S(nv`&lKr4HNG)J&FWx?2i|(Ov-tXbqv7*Iv;2aAQIe7S^|ml1aj)?4{OKOsJjUd2Q~+Z90TLybEo;TMmoqrCACG1u^KwlCU(n1 zop{W){};EbqphR{!A2rxbEBcqnw`>LY<6ev-0rfq_2%tBaEXzHr=yNGV0GBLJ50FH zsd_dfkL#C*)CYl^IT&BPXrpU)-O1*64s*F<0!!M7a0WD*wIsbHNh|74W> zZHYry#xfVdKx)2Ld&CG{BU{}E>#XjXkch8L)Rt5zbm2u??=K}{^Z^knwCe=BCjZS( z?pycZ9oc5?q49=RWD=E0|FQ?Kpn_Sb=p{-275XJZ|BYJ9U$+QQeX=9Qp)iOIFMUUA zGDs1#z%>KX@=jaaEbj|)B3L;3K%tt)x$+i1JV+gb{JvJ0MQg-J29=I0FGFEGTKk)~ zP_Z)1Z?)qgY2^Bp&FyC_1!gMgu;1G| zS0jF}!Sd7{7Q$?(C8L+%&bJRtIllGo` z3;8t+6-u1GC6$P&6qL@d?w~pR8XVpRf0A&fwuA#5v5u^z;LvJw4i-j$)5NH^pq6gq(amN>6 zU_{fzOuwhn0eE=O@lI@CNIm$g^S->#XtrCa`5qlB^j6o>9(jjZO0{E4YeoSRJe4D6rvZCPN4#y)rS#^_!u&E)>U?xXXMj;0H0+GSgz_RT;NRhi% zpV~~cwMf`d5@g@_9@th4kTCYGdKiR)Aqqz3c$M_^ynLk=1mMFkq2X@Xo-ScxUSVRs zVCe71dP0gip5m%s9_u0e!Q*jcoka;UM3{1*f4ub15o*10WnHuM{N0#4Wh*V~ICeom zSG>PIlI-W{auq!Qo)Vv4!IhebAcv!ti)%Zux*{ad9qj?9egIwIs}u49SMiqO4tkB1 zsvtfB_uT@09y;QTT+s=2{Cky}*G1t}T# zW;u#zgC^TZ(QwR~(yeX1Pjh?LE09Kjf3_>z+5(l8F5gBG`_abVQMe@DU6R941nuG- z%sK}hI>;afHTujv@pj1jyCp6B7X>%r*i#TRV?z~3NEe<{V@ZcU$TqXQ1}_CNi|x>T z-L-?mCVPB*ut9c_S@K=H01J7>n$jkdLD*#9fd(yOaW!Ub6%Mn84IGofke82tmp8;HUDh15UfG^P)7Ipp&w_464o~0S{ZSkFGhzELRbGF+)^Jb#8N*q+;Vx_puMxR z1*QB1M{YGeM@E9X6S}ZS(yKO3j=dMZn(_8oPO2IL z)%f{FJ(yTmUDf{3BsJ%syWVk3T7nc!N`{4j;UyPEuch`wICog73957|j)glas|f@B zn3~sf`Wd8x>2#Njbl`2_8ub!F!v-n~FT+!=#PCPlL6&G53axB8iyBfn%RbEv7Ap-5 zMrOM{A0WDaC@qyPrAYGS^$4e0mls9LgP5e=9#zC>t2DH)@){+h9emg$ipXq*1}Zu1 zQx#lS!f2pZ;k~Okmk%;ZcpK%{l-LEE+N`svtsGOHHZYfBQaRL97}Za} zJa;zx+Cyk7b1EE}7cG7h>Q~-PUSPTHl!O{b>9PRPI7OPd&rrT751rQT4-KZ@B8Fj< zS=%P%!N#XhyRWjOX>kb z=FXA2?33UUDO;?T&(uCR&0@~Lp+YLe4~}$tjdqeJV~C-_9*KcB9SK!fTnK@Gkf#Pk zXLv91tcWa5v8;s6ijn?QOD`DDIK2MC1sdC<2?cI)wF2)AcqkZ|P5Iwh%GT38>6^GP zW}1Zx)wtbCy}RdA#b$Q|1a@Y3wgm#tS6UJ1EDa{Z2r;_kwHKR5B^tP$c5|e|+4x(8 z{91*w_KG2iI{M}plK`o(pNiRqO!E7BLja z(RWB|QHZYJ=gA4l2rZj)R7I8>*0dn4Miv_R@kd5-6_yA=1#?175qL0RJIWz* z@TqczSz$Ph91=pR2-D2XoEM|w&~yFx$4%^^A$}8DQ5yEk2>o2B<*5jT$kIy^sDwpp>N9E+@E!lW2 z?y5ztFLc&Ls~ZY}>(67Y;Bl`r2GD&9RF_5Wopm@iF#61NIFjgRSc_FKVR!!4dlF`U zS&t;QvVUJ%OeijMN?%%t^#9bO#Ng_OWj6s#hG^}wkYKgTML+_*vwxfV!(bSp17>hY zC!;G6YI8Fz8jiYX(tW4nm$@6>Y0DCH<J&w#)KN%{snUoGhK^d# zeGom$C{aoU1>%UbEWS-D2CPIXM&Ers&KB}LKIG|Kli2b@kO@Mo_q$0J-B12^-hmKq zj~6w`H>|YLJ1=#O{xKA49ChGaOXM4E0_PeZIM-xzt|o*w@X7?zGM498p{Af7sNjgO7eCx8EBdj6$Fr zvJ+5hq!O4P7-Lqm8Y$3X^b$^teyNS!s`rHGAZ34??re=9WX2G$mJ+G2gyOoLS4y)g zxgtVD)dIAztcfe0g&RESzWE{tN!9SF7tr?K|F+e#DmXD^Z7rm3d{Bm*XV#V7F|&k0IGoX1>IqlECQ}qsvp6T;#lJgA%`^ ziI9ECU}zg)pv*{5(^~3Fc+LWmo4$8YLK5A;}fz}{H^rMWwT36yOs{Rk1-r9gk+ zqB=sr(RUn2c3MiUg-yDG^Z{rc% z**ci3t+(Bte z=Rq)+A@fBH_<3NxAgTF4It%U5W5;gautKODSA9_N~c zhQav>PmCF~{Z9COYnCh-6x9H)#Ow|VcT`$vB6H9#H=1Iqgd>D3@>UNx;|cxr#QIzw?Stqdj-A1brDx=h5pB+FsV@tuQ>F%3&Q;IX zUsob_cB75Z%Y`G=EDIW2ssNgJ=m}K|N{8oci(%C|%H0Z3eptVde8fxNn>=;PPc0?{ zqgNn<=~y6%GG|f(unbuX7@ZjwL?;~DN9xT{lhw$npOFd?yN;b>Kz)I^UhsSRRL$y> zf3pYct%#}D(GlMmH?#(=qJ1x}ag;7-!JTlFxkj|2Hjx(vUoJ<8V5jnHt1z98GF?W= zXgJ2$DeoGHVppJ6Jj%wYR=2g)K*7((3>h&WCN&jx+*t)K%2%1NaI%v#v_^?pI55RV zN`uB$)llSd;?)I<#?3|VEr!E>M4Dr}so~V})IKg(EhwC15;!;t_!PES+>#nDWChzq z9X44MyQcL?b;ynVf2BpPAd#n{&O&NGH_ufXGG5_m{{`&LH1Rkz-7C7E6W=(V+W5zXy-A%$H?_d3TSPjc(>(t%*#vVdFE!9N+y}+=pwjarK0B^ z@ca&(0byT53}~ETc!}#J)Y9cnE{^G(`FTA+{~wUrpnf~>+W!7Oka60q!_7==aluBO zilcjk&xzTb3HTQX=XdO#S%FM&WqC%PODS2 z3yd=Jw8xFMq%#oVqRg*AE#XB-IvOWfAe@%sGG|G)RuDr=fQ#*5#I;#RLtv9ZcsxCy z2S%gr5(@JDAe?TBCOuk}ad9LRa_!6pQ{_b)JGum6T~7+k=b0Srzx@syGWQfKnNF=- z&3#YZ4pO)|{-f#TY9HRpmSZRQnUZ?6h4}Lq832pRpU>N$uTUT?4{`Vfm>AdW-ceaU z?^G6IJpn4pg0Hg@X44&2&+iZ#PU)*ZRkoIwS|^nZpr^3-a*QhLtUsyAYMC}i!CM<0 zaoR4Y`6gUw`XL55=AU-T9Bh5@jX35)c7PZ2ICpAyYw>TBbABj6D>Dcqqh1Yx0EP86Io1&`G*h%pc)4$?~&laAGLB z{zc~iCC*6=$w~GC2Nr<7oeyE32xp(|e2sX8>T(46l*HYWLMNs#V9`Z0S7hqyNLAs( z+zE%N-x*e`ES}z80IbM4*MC~r?p#u3rRTKAKOYHZ*u862%Yly4571YZynpH21YD2a zu+4tY_YT6x(buPJO{e_!CIXcPaD>Tt`C8_4Uw#NoL7qp+uAeD2O0KV5zIfnG8&T>r74NN zc*$tt>!J^;wz;F6Z!-$@_)6vC_GLA$$W`5Mu3}MDprw|q{YtEV8dH4kxF||)8V2l4 zi+A(>Zd7JJDyob*uD^We=-iffUmJVgu(z0eOzwV96E{?3&nPatl~ZvcrsU^=>vF4! zjluhA7+WZ#UR18KM7>^ajbS$wa3~KE*dASLnJLz0H$!N5BN+d#|8~d1&^znjTJXb0 zAJFLMwXdg=U|stN--#s>ZB5clz2WCI4CR-|$Z7lT{j!@Rnj(ud-*rfsn+=)`S{f(- z^+1wewZ`j~hbtIbJfcWaaXU!P?w@ft`-_=;k^FK>LZG`Cw0SeYy!>U<59myrsCzF0YZDZm-JKclHmtSx?YaNVT$wCs8D7v3Ap zG$hV^eQGGb5U)AAs)`8*XOqfw`QIzRwy3PwOfoZ&%pC0XxCBx_{sDh6JB_sM*w>XFR#evfj~G{qxJF($6C{|FRA><%2z_u~$Or z?+VPsC!X+{AsO|X4OdTZ*uj6)J#Yx%=lCLyo&bk19K3zOi<<=wLDU8=O}%jDmPvC6 zT=4rGtt_a48gO2icG*9U*1sC;@4XRdz$*}Gz#kLU>V7cmA;TGIb<7dfhGQ`0RF0GF z&@^6KWWH&a`lop_u+OkOG%cRC3S<4vtwVX<%VHMPV?7SH8F_b{&iGb~dq2o->fdfY zg$yk0yodLlx465}+`Ef(b`aC+s&351Lp%=;m2sTaFtIGmTJ~;H$xb>CZ-#zc6?rtD zPnYdkEWT%93kvpAh7<=`xDt}k;nG}sNq9V|GB}As9hySc8tZgUXP}NfMj`3Il`D7K zyUfqL`mqL0MI%7v@?`9dlr#6&)+{w&B$pN1}&;KY2k30rsZRm!OGV4f} z;@l}quUYY1vVMXnGiC*#v z9K!-%PxcAikzZ&{$Av}5wM9kQ&jWf*SI?cvy;b@TKF#`gf_D2ny3XWFFV0y^FewFl zpaKutyfVm36wK&3WAtCBOGg&kHoglSCrjSg=X7W~KwOspVzxW3^W`z+w#m0T<@z3g zzzVr29jOWPd}Kdwa6<$D0}- z@Xr4WicOfi+*5VKIdAwTm-AvRCUEpUci?ysdY2#@E6bFM@5ks?5gknYANhB)S-~2Q z7bS_$<0Dp{mtUU| zTZJ}px5~deO&;BU^!Z%deq<~QMBLjfNxrL~>f-o~xo)Zay~kUlfrix|d7dQU-N{eE z%j@UwZ}=uMRZ&t=PNC?!nfVM8QpBCv>CMY&SN+IFWpR3`zILaEMUmBt`jjC#ha|Fr z$%%T{>RqHfB?1yv?h!)ATqsIqY)(Zc-rcO=ZD{@~m}cPjYPYQ^p+>s#t7M^9jyZ)- zU3ahGhlkV&y8Y{|WZkxcU`?v%i;d6uvNAT>V zlvo(f`XhYa#gzGi;-IAPdwr6Z==&||@tLqIh74b5c~UD^6f*ymOjN-vTf@^!HW>*- zLS~u1;_rv=A;gfhQhkTg+qS5|9j^b%1;)m+$;ybQvUR{F+=QE{0V86O#H#PO=mAK> z=?Ak-S43J;a=uUbVkY~%&}^$Vu=B2Ew|(x+ zVrxucQ_j$4@#5@4g?CVN%3g7)QTMd74JxT8S``y6)oPxBQnN^!qy%KpvftbwB#*5gAcqvKjT8f6q?N}IYPXt&KgM;dHd55 zgSW@~ZJ+tgC(2z^SiCOAvS`J;7;^K^!OJD@!;=}#^SI?Z%YByF&kAQ~)?I6V^QWaZ zm**fT%oU4w>EsqjKix-p#;BYE5NiB6#F{|Yc{g*HGO5@TSLb0rs(*JcTxnB1Dy&)L zF7j^u_HvlG<1t1yTZU(ttxj#h3#|ppV%oRDiyAB1N)_tbnK#joQkb>ks>1@1L z>&<(24j%Vjv901^%cP7WmMU7*QCvCZ8DE;=c;mWnl;u&2Lf17K-L}OqT*!8}jTLVE zEge9OPOFhOQ`u!&C&CkUgE}hUp}3#(iEWwi}vrZpP8AbesPyi00pbLnm;JnZPQ_0q@&xl3_ET~6Nh9%dRD zG;SNn9lanb0=eQ7IEq)y9j(fa-Rty&9~{To9#+!#0hwdyZ#+5If$lrUgY7$psDkGv zs`}>4r-lub^Z>ra1sN9yu9@yVE)T z*G}F$cH;31Z$xEJ{0i4TIX%%5f88VK0=hKcMWL_RZ)#WIJ-Yq7E~E$a71K($>HcYR z&9=Bako1;RqKYUZL}jCSObXpH3f-X0k5EI4HKKKxs`1FGiY7j>89w_gPsM;4Z^+l5 ztzeQ$mxv3Wr!Z0StbfMi@>fOX{qJF-Vi_Igks4Md^Z(qVVhD=s{tZqi+$b?a(w&7P zx6IuTZLWnEYObXbYGw!lN}&*&8pH5oNzKc%)yNyg>(P)paW6#qcb)KiulK{(>Ip)$ zIdu5L*x%nB0jQ7rOE7!*)C5s-_}b@2dch$`!%tuW)l%@o2&U7afBf1EDbhy1O4*AJ zW{VWHvqAH-bq@ODLG2RZkQ+-Trweqb;NWXp9N%GT#~t6P8)^{|H$B*ylL@9D1v}Gh z!LXy}4Ll^l=clNS8wvo_yXb!49-?pw1j&2s&en?a%zO#?P_J=NJRG2As)j~j=W)Gb z5Ggew8`3;6wN!xm)N)=-zu1er>(>}wxyCFj<->wMPsk0{+w84>o{^c~H(U7yN3_<^ zm+4v0%-0QGd0mK#OrsPaF*i(G3I5@(C}LI6JIU`KUJuOVReFKg)fqgeJk(XYeH@iH zEOQ8&nw?pkPHLL+t$S4{Pn3 zv1&6*4LX)w+^m%j223Hgi%{QRCJvLTK-Nm5s#?5@n?$$?>#%YjWyg(p%MXr~tK}CLL$CI2<03@&6DJ@ha z;Mxo$zx>D+~iyeJ3GhC&<`GCaVCVSL_5F^Pz*Fc`QLEi7ANd5s|}} zL}79dMo%c_pW8z!=?S~AS*LT(lKoqR%w#;r7=1!gaP@ROUY64Kz zc(0CHrQJ%qL7P!Iv2X|1%2j30u22ag=gKIr51LVjRwP(R!xbXZAcgU?}sk}JS)3Wa&A5$jNv zHu$=9I&%?R*UZt(cKP@=N%dWgP$7UI&!%sYUKKGT%d8SqwMmXW!X~XEEEwpp%vEWZ z;`sp`mj8>r>Sg~Id(~W9NEv?&scB~kw|$NgENpXLSOidJ9yc(Clq}M4WYgj2jxb=Kuwj!;v^r~GOi$PF+Zmz=w<}B@ zq)?>q$82_P2Pg$$Ml6!7OtRJegsmV-hpiAzhi%w26QNx<6Ny^-x9}{OiSQ(|nEAQJ z&WP=|jOC-%%}1^{>ApBu+0i-k2!8Ybjf$>W_Z_^0(*ApV-_h6Edq2LaUU$4%LtQzo zn(LA}l3rE}<{Dh9vwjVh+A=V{kbQ{L2*4L5U|d1S*O2weVwO@`97Js4kt#~|a7l-A zGU4AZO_iNDa!26R%)pU}Jr~pyO`-I%j`&Tkh^U-(SV1C_%;)0(UUI5+;Pu1w(~M^S zhlC1b`iVhr*EfTnyzYj=!jcAO+&Fi79iPTD6fIhS4$XXdDq1X9oiMBgf)0Fv&~63w zIE2xonx10PKvQW+Hnh~w$^VA2&WrVqA}Oi^31Jt&Ai}IO5x^SilBIZ6DKCpE#B`4v z%};_bEZC| zlJGYEN{x2SjJziVy*`cZ&kX>aZnRw%w2DPYdCjg1?9}sKQ{Rd>tCAN|~e#(bK|bxPVGLkWp%|*fN+W3NtVA17=Dbx(DaRT zI_+5&^;@KDv&X1KPsynaZ@uUk&jcn?$Fu8u)$^|{V1*j^Hf;JT#M0p937AYA9PF`q zn-eX6=1(Cehwlo0=Io7G4xk6Oo?vQ;Q4K?v!_P1d>wwg#6>!ox_d`p2!AcvbB^ z6d$SjH;7-dOnmA!euO4MD^f4;4J7^U2G}|4QUE1fqtiX|sEjprw(Q36mTbc=BQTXrvB=c6o-jPOWu-~PD8(mJo|JGzN5W{kG z%bfdNGZ}&f0Fqicmn=(@w1aYg7}&Byv9`UI!_qY1yJ}4GqqJ5~v5E+kIQyisYrqji z%(T|$ed(ha`LaY2ECEs47wY7men|AEY)pP=U3VDVvye7dSxp&KEyp6kBi?A=CDHeR zES6hYq$8(d=lUW9CV)3n3$apR0+YsIkxvm8g!>oSM zrtYodCJ2R@@60(N_NS%2iKv+Ob`SI%oN!{F1LM}xhm7rVWBAVh!m`%9!Yi4wfGO0` zgDt%&*>w>}9p;vJYBF+5XiylC*%!}gVs$iiiZtCA?;i9@yW-qg@|Azp_x1?YAr;bU zv0miL>nonxI;X9!Ro|5ABzc6O!;CUCqZcrfgV$^s2%pAk#N=xACWvO}jyWL|>P?^b zi)b{3TkHQZfVhJO2#iwRi0`)7&i`szGj-T|rS6UDt5lagSa#E7+B_3#uQw@op2#`G z4FXVTej6lfz9gwA8z$K)@o%+_)q&P7>P1ql3&{C%Vw_T@IZskobJ%PYxd zKunTdo&$$!xSIve^oR6SDl5t*Zph5bxA;H^2LHVNzU+<$VBq*pvyh6UCoqDC6{lcD zLT#TIE)A+UPL$L$D*!7dikKziKphr3-wIeXXhF}1TPdRKr4s}ZZ3t8JTFA}m=$1tO zjUGpXfO=?Qvx$u9oQ&y{tbU5@4K40?o44_JWf1KdkI7;lqXXun(lM2wJ%1i7-1v2z!pqRaK}D$id+p#tQLV=u@F{Dj}4 z6R3+0Vlt34-*zvM`lyHiEcgItf5(;9p}jJj_^!pa&Rjt2kG!_BshIl9bd#CmTT>|o*>9|24z)l5;QKy z{>REw8|PKl=%{er^n9cAi{v+4v?*I^dmP3=vt1{;RBV-HxE1vnoGNxm9LD`Fe!)TZ ztd&QJT!<6#Jc#R5L+q)D?pGj=g`uNw1B~q3f?N8-S`$fpM|N6-|IpApCdo?IYl9YU zw*MYvxm?&W7+XElZDZRc@GARXKvpfI`aeKc>*9Zate5ov09onp=fl7L5w(mv-fv&Y zw|`jJOrdx52n2HsyVriTvR-`kVsWsVY7nU<6O18DXRxz2wWdD*?2W5q{CQnBJ3R5t zREpt`F17zTil7?`Z!82kV()4j!6D8s?xDClV(99RHUF7M5q@39G4UmJF0aUs?3k>suSRYWfbqLs6;7rOsK*IQ^TN@>_sT2 zo!cKrdnD)<(Pehb8Cvzu;VuuPU%dx^R z)!9ubT9|ZT=v4Wha`Q!(Ov6UM=K`#`CSl~Bfv14eo0F*on8!AZ(qAlcea|~AB4S}j z?$1C};ZfNFr>2S$d?6Ir?~Nt|nJ6t-v9f#SD2r8$-xbV6IQDnY7tv8tVb>xiLKfFY z$vVX5Y~yiUrB1-$bEY6Abhanw#FE{ET_{}u%!q|A9#DVfM(ozt_ec&743Xb5^I_9p zSk=NP^^O%)akCt~c0pbfrGB^Vkc6X060X8Xo~C5A(6HJNsIo$#9}E?^m@+Xd1Tbe= z4TUlv4P-bOPqoiQx@9>6dLSr_210u=DA*HI&_vmVlbOfAowBguCciHUiUN&a*eq(E zTYPmzB_DPpd+~7?Fc5)z8LUMCyUhYdJIbf;NV?0BlUY-&qb5DdA&X#%)1o9|@arNG zSt=EH!WA1`HsV_^i0Dbnx$u>s$^Ls8t)mK{Ayr^Mpw6c_JBiL-Hbzh0UN$yPW@Fzr zMk6_O)^`zW69mpyYs#?Zkj&#x!5Kypjm6euFI|dm8u8cY5!p4WZIwO@ktXLDo;7jM zssQRG#EvX<3MTsFV;E#dz{97V(FJ}$wn?ppqVl7*<}CvDVY0Og$7G+pc4(?om@)cU zhQO?%7BJ;(^tY!1#}{P!XU#cs;aS5R)>O5opK^Z<4o{g9Qo8Ch=MsBebE)Rcb;C1x zeAGI4Gp&DwMiJ<|wIi`kET&lhO8{Vf71YKho0_uxR5nn@-qMw&wYcVTpkN;Cy0fem z#p-W`DYt^E4r*{~FD6X09C$ymKvbX4-tNwD0{aaZ-X1^-7h)!>Iyp}9%HSroaU^x(K8D zwR#)>I`?&UHAwn-e*S&EeK~r&XHEK>WbxR^S*pzykm3D6)eP&~_rMsc;1=YnChSu` z*U2YjsZT`Q%z%uteqb1FVXA?E&mc+~nW#-#R8ZAlqG#Mv5S=IKnjB?m+)TvH zIa4WDue9nsW%OsI=t-0uHC)JK$i8&DTtD+0*KPo0%}H<2#=0aJFzea%riN(qxt4GZ z?xqyi=RzIoDV>_nEB;YKlpWz{zim)uJwnabvmZo{Xf8iQtB_)$($el-CRAcMDh`|# zWTLy1HyolzI_&=~6DhagJJ(K$wfkQqToGf`N%*^>Tg}j4l0nuKWZfg#fIqKPP}_~- z8k7Q_>0x=?@906!k3JxixiA?f^QDo>UCMyci&(Z9+pX~lsaguf>w=qcnly*b@tb2X z@ie|5e&Hd(>AqZSmCDlq%8X*OiTRQ42UZ?$cjK2AoH|%cE@yHX#MGy#+x*BKnjzKJ!)|elsGqUvPuz}pv|3pR8*P%9PH?VDJjmN>ZGN>@ zM2+WCzjN(hpGJ(u`E1kR$|;ZN$rZuj*M(a1KhE_JVgt=P`2zJB;X4o=Kv0pGf(*YVhemT8zQ$~V4~&ZB5E|YD&lf=ea#+j|)O=j6h4%N+|8Q78z2_{ce$iM&@BWe1 z%ms2-bN}YBD$JaPLq#BeFlt&!p++~Fk_rP!Q|grLD7fLHXQ^KxCQ3N$$Z#&F{SUb! z+TKdkvdC~|XTk;a{M0EW(5f`46KMJM7PN3aKm;oy4QQN25dB8wFDCPrl6*+GvzGH} zL7;Xt@XW2{QElyP^0)pjB={4X7?F!T6?N6y0@rsjv>~jc@NEnS{)&t@ilQB-xwaUr z2E9_~uuu)9gBnweK?X80T1EK(n5JOP%6L(FDPij{YIN3Ecbk&LxuKeOwPPs(_;pp- znm;CbW7{Bu{3#zArp!dXP3ryY!dvR4`#X1j1J1OYCXOLZGhk)YjX6-U7SV6;$*B^- zJ*v|@K{luS+>ISlfIbZoRku(#P_w%HDdtFQW!g+}6&rPa_qy;jy@m zTU@A@3>a|5t+@U~mDeh3*rFe07ic4{KcXxMpcXx`r6u079 z-0d#@z0W)6zOmoCW8Cp2Gs(=%OfoZ*mE>D1fvw0K3;6%FT4N3B+~-i3mvrvKpV8X> zq6b=ei~LE*&6}>RJ|sz7RAJe;(Agpi9>PO%zQJ^v)Kh_xCj_pF#U5vk{*x)6xq0-1 z=+*r|QdV%>iz`vBv!o!NgwCLyr7%8!jt8?GEod6L^+%69mdX1J)bw8bZ4jFx3#2SA z$OI(DTeI+H@gWA&-K@qp9aCh)$8Q)#1PtFowoZ?keA*HyXM$i$*#CGk5k|0fEJFr3 ze(9kj0&mL*(DzJ(Ljn)By%bhG@qJ#Jc}e#je`)snzN(SG3gUB`-);*PwxJue)|k7x z9ul?Ppc%Kb_Uq4L_!rWZSTM43N`9$Y`BF}|j@%Xu8N8fLYIM~=)W9`kd!7t|iwA6o z=udwIY-dI8%Svqcac7i+1n;SZZBEEBUBfrD*-!$XOF*mz(#bwT7>TZZ(w@;yyOI>Cl z!!WdJ>~0&y_#J3=r^8D$k+lw^e-Jx+RVDK7HJY)FJ#*M^lYkV-s>pKugHxMZ(8 za#QTH_FWObromR(U!kw%YuF=eKeGgG+_LDHd%z}sw(lYvk6U~2aSmv8vzaCoqFEf_ zOxuA2z7Sqh?<4B>;9JDKXybP1#e$S(k0|Ei9w~0O_<@Ns!|I9<=HLNS)n{u7TeW5T z(sl>;#l;%eriQ{v!=V{m#}w>s65@r}fpg>A@fD`-L8(`le=j~CNz2Jkh@^+(bORqY z0^bD!Uq=`#J%RJvDZf?I>9gRABw+?j*s78=y!_bgUUrH!50Q~2k4d=e0mh_VGbWJQ zZHB9?{T6l*O-8_gR@v-2$=XW<_r-BzBy64Dnd)Lf>0)y9v=zHff@n?%%<@hwwsF8_ z9hxJG!stA0nMPH60Ix7SCi$Q+`Jf1*H#FGU7n+LM?(@tvBaQcu1tzH!bKxSe(!1DP zkg7()R_MjR+PsPi4}m$zuYf?k3&-970>MAzQgU!zX;dw^%0$lE7XerEh2>z}<5Rk( zO~JH%k&v}_MEYuZ?Fg0Jll!c}xllZHkcIEUWLR(mDrVYhupl+`J5x$37h0J-iA4w- ze*j~m&WiHWe)`%yyZz4uUgSfj3`5E0lL$WVbZ5u)bP)VghEL#JFN)P1rP))28knm@ zD|e)zEzY6h3%W>S_+1ZCIvKr=vd}*7D_SJ#mG4dIVr`7E@%L}*^3nNLIVD5*EnbJ9 z7g5<(T>UlSPef46e_Y24@4QXtcVqBB{X)v+=hQyhrCd=agyS_9Uvbxsn>`8y!nPbq zB_%6M6~EpelyQv8Lr75xKpcIPmK4rjDu1>83<@cls%lB5JU#dP`ql|QTNlf^C-Uyu zJo38NzSu{;rx9ZP5+bqxy|UmN*Qg_Vv3l5*Yl=d%A!?x;?e3>e-sb#Am^Dk>1h^LD zZXUWwEPDDYGCX{B~xh8tq49SRwB=gERoIgm)8Y8)*stzXWjYTsgpYZnr)M~68MRnInBYPZZ7bBNp zq!N_f=A@4oG2&5p(>7?Yrw~pFSRJGgm);k)USluww_dTw*T6JnIn{CH|I4;2;>vTY zCzfSYNCcMs0I;_A)*VCP_-7Y0@8i7MBmCm$e00q3hw@n~<(<)ce!V(RH~|N@EK}~B zbD}|e&zd58{`1O*$ANxOs`LrCgyY;~^AcL@W(txOueq7NlI{C#d(2}>?9sqWRcyc? z8SB%)9~qhk^-_-UmPw_eg~Lo*^3yji(nZ1MOux_S)r-vCjM|D+i^?^erK_bDZ{RY& zpZx?7Ri(2o($x`*H>3Ip3$LRLbIo>IBHatos=KZa$-cFu_5f2)of+s)n1{-$ZS zi(1_;-mJW(X|L+^7riPwwi(l&Rbxv&1MIz}t52(s5?@(6?WA}10Yq1k>c0Dt=CV}r zVlducp2`JNo*z@4x-C|5LpJOB(SK~Y8>+0UC4>P1D{cY=WLacbFxI}?Q`Z+9Nphr1uCox-cX zR6N~s5Cz%G9>uv!B(Ev9?PkpuDqaJgn}>zu3ne<+D5V~b@5f|lju%T)3ek0g>Q~Do zKY_QU#5;@Q3Prmj>ZMc<71Dg~wUxnb2h~m9)+gpN|9*8nUgsCjYWMn5SGCTg+7N!~ z$u1jtlD6AgG^yH<>5&J#R7Ls-cXyN7Nj8O!D*E>)yDTDE06mxt64rLD8nSN3GSCH-T>-8OaV{>cd!>L^x!&+E38+H0R zUD68f3%?D*kVoK$)2+TQg?>F$!z{;rkr;Y*omuIMxHD=XSviD#W05yV%)xt+xuJ4& zB+;kcAn4(XFv3{k!N&u$$M-`Dl78XrMSVPp-&0sdKMS=wIBh(r9V^%3qd+*}WK|r( z=7~hwPv|7vrxQc)ZJFe+7|W$oOugcrWGxIF1S9w%Q)OSxTQcs>V2M6@1a{3(bhK`8 z!@xQc_hal)v*9d#_q3uqXC=Y@7j(p{e~0=Dx9W6W;iK#nmeqgykiA5anW&b z)9y~ZN!6m?+^h5Ls`_u5v#%Db&Rb#|M)9E{FB{;T<1$c z4&%@pg@{KNod$C6j3w{G&z6MvUC9kU_=nCBS2XX6gt)w{=d~4zLnhlLK3F@)337SS z<7R#Ten9dygh%fxWCWbNzv!x#S}It2Z$~)=DZLFSn5!mt!Os1aij$a58aKnMjmIAS z2K8f3{5_XzalCtGhNIo=h&+Wfs}nWnp$1}`ihtGb9COxxd(mAHm`cq>x)lBpQ4lB} z3l#oUK9(uGqh>9iFHp#rK%@=?&PAKk6-%Pqh>6-G!-^APAbo7%A?_y{3EoG+MjBy- zLyCW98GI;o{FR!5JFtH_g&Q)ZE<3lkMrnV}q^#vxM|$tNv0v8fA#DlRjz zDf<_N>HMq(NA#|<77kpLNfIs28y3rVN;ULq z#%YkR^w5jbl}=B?|qL@321ZDv4%_WfuQ^)87)v(FGVp9~?X#{5>lFJC;|&Hibl0Fs8Ill^G9fFd5F zf)1VOXx+L{2!oA|t@gEhbFe3l!^10UQzuL2VCuS7V?bhaIP5iVIq;R-(*1{0`dprR zMwMs1=C$gib-?Ijq#U;gS&Y?u0JLOFa{x67ZtsG>Lo>M&_A*RUks&Ti?}9@OE7@v3 zY*UeR8oOVmY5abqt~nQs=~oD%2>~QV;&DwjKP=^2%v>_l06oxnNk({#-xCTqx-(&CsqL!XZO7D1cDRG+&s-v`9Va+RmTbL*us zl{8EeEX#6d1xYOD5-Ph#Sp-dH1+j!Jzx?vPFF?)~MBY|}5%ho)2$3a%xuk;7d|$Wz zq>1=P4w|@Qx04`1#XXzr(D=w=QVTUV%B|-)y^SQMs6`huC4kT*ss}4t^ zHFr%%vyofl9hIhYg}4GCgI%wgtDB{-_^X?_uj?}u1y}F4(G$F_I#gz&t-N^{BpTjl zY~O!QT#hEq$3e;B@QUB;kv_S{)e&EZyk!OO$O>i^OJ_L?S~&}59leu#-{|aC@A$o0 z@6ElRb>4WFe|p_K7JqMkntQkOI5&A%=w8j)Xw2<0htpkbbNVlnv@!FxP_^D#wO(39 zhyJqT@^ahp_Wop-_enGT!0b7Yn%IR2K5M@A`@4}>Z#-AfQTJgu*FFH@mz^7BkGfr~ z6G5NoH#Cic-oo!?wmb7(tQ)~@bVefp_vejHhcnuFC0EQFyt`#WuP8FxCS^h-VMVRH z1g?PEjUX~xH*$cg9AXT4h+rhjDomdKAhH$2Ov}VDj-X?$s$iyi#gW<2qC;c6dD{~x zU||7^V&1lr!>XnAP$@{Rg|7PfwX6`Q^tetG711E-oUO6dxYWFbI@!hZ2+;4}2Ms1) zibbwD>6ZFUd--JG@9Z=}L`tJ=DByeHE_5N)Bm{`mK$GH>U{rhxSup(2bvfI0{W84K z{BEBMTj^!W8R`Rd2~0mXMCum9O?=*faVnecIEp09fZK_+hR~eb|NQ~kn3n)`w{)sS zv5;OxFd|uF_oq#wEn`zuJa8=18UbwBS|V}b3z{Dmr4%xb&zztzC!KK0oZv4`x*&ej z0P%35U#5(|Bm$*Y>a^Rm19jac+pPbAKL5bje_&pq?w$lrO)KZ6gQUsxW(GwYXv3@Z z za!I9HCtLT?Q$m`i1@qVTXXVlysV|*3Auboile-z_`oL36YB%X^Dtcym2 z0u3dVR%&9#{UmUcV)f)r*-8I4T%0-1=G=1ukHer>yVA6|B1?bMRoxZQ$uNoZrkL`) z*&s|qe5IB7XbXRd^ru)OIa9@Nvx(G}$KO>13Z-97$a7|kyv{yzwaQogG^{hrjk91b z_D|u&5BS3wk~$|ao`Iyl?|}R-+^_K?IYii3Oklt5&OfD*;8(>fq460sqxrWG_2*n1 zL7=B_hunt&{)v@OmJ42TZ;iWmX-zFxQ%2TRrhA)`rfPF$UN$JR4MlaY-tM(r8>Bg<||^W`7#T++HuM#35Lp_$xBGUq1HMptH(S$}5IySe`0)J(6;lt7R#@ZeDX6-d5^FY_W-z?|c z++BHi+1Gn*| zuuc9eBU$;>uchNe)`u2XsRXqbhg6*1{6SZPS@FX8{_u;1#Kqf6f`bDT6g?TnwQ`zL zyO}=VFWk(>8Sh@HS|xWh$;R*h$r)<;9+fnk5S3#{^GDp_KK}48#mu^FUVFB%^S98y zUZ_H+;wi_)#%0+n9G+(R_ob0X#cZ?LD-Ygg8h=WU!itLovYae^JrjdR7G_ifr#Eq_u99kGOFyEpd@c>W2oc@uiG?jvPg`|PF z=!b?CJg6u7YnEl}DCk$~I$5snSL<^B2~mVTr)lp??MvA1Wx|hVj3oxpvkK8e&m~#v4-A?5M$MeQz#~i5;?;DL^rI8wTBj*; z)zr5|O*@JbS%4P@o^3VM&}CpW1<&=d*=j6(m4RO!G`&R;{Q6X>h@;ruln2anURT0a z(%5dzX-v$4L1ov|o@$TSK)h9CFwx>gsdad`v~5^TK|eMYwolI~JVNSe;gnoi0ZUyX z4ZDD0Ist7zJuawwLZi~Of3x>O^$8<`?Ip1bwiwa7(7NAr?%fVQaMms}5Eu~bGK2ns z!T-RJe_$v89qZUbL^z%{2emaD^51{AQe((JFeISyA*@PfqVYv&giwk9>6cUZ7mh6O zlMoQJVGa1yZwk084~VnDe;O`v3xMzrJ4>nB+@N)gd1peBd3%PHOpji%=%glinzNiL zPf!yQQ^RjyOh`qrBs0ok9e!$7j~1FMYKT~VW2f6lGCcC0TeB2TdSjQ~1OnZ(id~Xo zi8Tx#sPKC@$=@FoXOc*oJ>MCW+JNEz(WgRUu}f#JjfW4ud=`M~#UF4AfF1aWs=}$W z9>YT9Cn;1TTzL|8QO$8m$~4Z!WesH-tq00Vfm0484OVd3f%2y7Xng7|HX#$L`NzQCE)b)Z>4^cw*uSXbB~a9qciDw~Fv z-$xd`?LEXuoLou0j=>TnF6^Z~UC(%A0&ec$_Y0#H1Xez~YM`{t^A9GSQt7!We=}4} zwX(3y_Noj3>g!gK4=DZtFJnbw_v^zxa-IwQfc;9umV*Z-|DWb(>!-F-lF6^}5;Lje zJQ#)wR9s(RnM$>Ja7CwrX6p4=AnzH0y!37?uz3HC`ro{2LvC8+=qGCBV+H#7V;+)q z!(#>1VUXJ7%JQ^-=|(aMZcrpHe%p5^Iqu2k@FynSJ**@60=qVMju$3sG+omnrHyYK2xrnO(U*2iV&P}m@ z6)#{>G4CnW;Fg&n9yr6GQito-E-<9}ak)aB#1z-6+2SaAW?@gPIN-rubv1e<%CA_ zDK>7Zb{m=MHRIHS4Sd^^X@E_j;Ku$6(SpECq9#QVCw?k4YCFNB{ZLnCL?J-eMk0wA z!b}`iZzq9P1cs{EkV>#6JA}Rt%?V$4G(xpdkz+qiMwXm6tzlLk&s@4FGU{N-jH_~t znJdene57IK(tqX>PgA+TRWu$v+)4k08=0HUFr)1Hrp)*r6T#sL04P_TODb6B<;xY$~<@mlX|2zF9>yf zn~LRU6<}>W6U>)7--6FmX3AR9FminjS8wLO;s}_O~r*#^~h845P1(9i4GzM%9z9ba*19%s)1jv-meoB@c7==zNu9 z4Nt!pe8R{PP~v#D+js#z4$ET-|2~_Q`Ex*xpXoPfjL!frz}jH3z*Rc^sd1*}<9TnQ z0X%jsS*lD)ETg&9gWbecMC9U>rGa@lF&XEH;mP_xQj>aehfh#{|B#Eey1%tA(^0o> zky=?N?<3t|<9`gz=&?%`t5bh!) zY~Q;fM=k#6M9mXEq4gDDWdVqMfZ_$n@CoQ=@ozwGX*d5&L3Zfm_R~J*63`!WiSxdX zxkP5bKeLAa_iO^FVg@i@P!)P$$iU!3D307D^u&_E?G|`q$YApliVtJ8e1U3RkTh*= z8UtiCey1arTO;<7s)D49^u&cmT)7btq2dOeT+vrRa*D}7dzFs0LylIMY5_=N@v=N% zba3nh06GmI2}jqDwqXsQ2g;b#205x!xCUm_l&~L8y9cBOp2|QptLwjcC{d}8c2mgh zGrZp2+RH0;6KHEsPK{5!zN~Vf-tv_B;E)9w`uzJi8e7D_Lc2u5U%YW!6Te{RLD@b` zf`sDyA`%2AHJg5|_6%7I92T0+d2SKFJ7?j~E3(__0trnU?F9uVJ#G)KIWK|;b#n|$ z?GX?LC)EdoCPj=1fyKK4DBrUB-?D@TCy3nlV|eYyzeN4PAw+TK_+sHBeEKJ)9u&3q zCqdo6jqItwFo!U!8t@?(JnFozfFDjmODQPoMcXf9#2BANvNv-dVLJT&q$qKBFyH(; zVd*_A4Nz1@U+_05vH6@(9G2=~<72+}AJax~B91jn?LE5r`ee*?#&9t{?%_}fSyTdQ z;G{7@s32_oV8AB(^Z`7o*u9@{&|&N{GhxVakS{0L9f}Pm=sn5>GAPRXju&|}qag90 zz3C!Q)SGcX2uGUQSa4Fx%3qbDv?0nKB~D>f0)e%Uz5LVQ7P}0{H%#dY2oP~-xTkX7 zNe`Q#s3qxnxN?wctb~M4;Odz9--|-@PC4`mUHratK>2OBMc|?ik22|nrL}}B$!=#~ z=kTL%xq?9XiEfCzw1q>^W@X#J7`}-8!u;|}E_AT%CxHYOHyakrLti}xM2$`$NY(_Q zk0%R)u;-K&vKMKI3J0MJkG?Jv2VOh*9-j|LC>mMt144{gcfj9q*!h^^1N5wkSt{D*GQ2#+Q*rI|G9Ayx#{_~vyxgjgPuCqWIS|(U_ z#UGn6{t)9j!X!dq`#xmGa-$w*5MTI>gGWcE9Swh)<-p<5g}b}^9F^AHN~)&tfPnYz z<$CA!#rBP-vn#_}vn8L|`XnE-Vea-rpIiKA|3Hvaxgt1KgVWf?abBhsC z7c5~Chmp&|h?LNUN~nWkpoND0iFSLDuXJHt2_5T117sF@z-M8qJ5aCpE^fRyXNd^I zy9rSqfh#j;sehG+Mo;x0+(#FRG*#Sx+w{_5*;`D05&u)IX%Y;lm2*F}NKbI^?zeMr zc5huhw&YGWkeKsW4}5`0#dVOl@!FKa4&VLycIn|-j()y})%I~PL20_d9mYZZPuq>i zflCQxpRU!-jch&)&0yh+*9+IL(XM*l&$mt-kE4JTZ#`VR-Ly7bIbMa&X~>ZzbbWKw zFhe>)zG-}mf(~PWyBP=r@vJ50*QtP+#-srQf<3+0S5L04k32lQ+1wW~{W0{2K~B$w zH*xq};qh18nq&OB+TW6Zi^p`tqu~&Dbkv_i&K`Ur;vFvIdcQRvIeASkyxqJtrM&ZsFgo-+GjU`valG@y6UO4J z14mo7pSM(J+i7j)UxoWro+u36M}LzMkutCu?qLKkM#x~c$@X=|cFFerg#B>%NRa~4 zLL;X;0s%*x%I{0|`7k5TojwD&w}dtbx51GS-ZoejnPvZas`y-|tUM-%TPJtQrGfU` zB8&+t7D5G?;l2T9N)@9Q0&*PvkWb`X;f7CyK;dSFM%_1#)R=(*2Ng#y47N z@>%RClfBQAKV@0T;(MF|2l7F0Pdtom^T(zC!QTec;lm;~h|VsCz1x0v*SZonht$Ei zKiF}u?o9eAd;W1{2T>BM_fq%O(ZK;{DN}FWXwK<5eZw(?3N|$x`BIE-Pf{m4I+AED zzwg(#gzM1V8KohTd~JbUBqd}{RCI{b)q*n}Y$!EN#-5e1vlpeU`mfYW#e4&^*_L2a zS6%e}w?mR@q$#l#FbwWLwhp#YvURfh4vecA;ZVEbG+y?UySw?a^JaYvhNn8-F1@(A zg=GU1-4ViQ;&%_JYEU&mY9p#ZYgRNsY786gprr&^7;G|9aL$G!y?>HEEqb?!Rk7ou#aniG~!qIc7X90Srn7dW9Ir(ohSO?L7$d zo4A4$I9S;I+*EV_5VS|w5+0~cPgVFuja@Rm&W21461-rrz=e&r042AP@;7%27&Gy5 zv}Jp47Kc$f&~xv+vW&?CYwK>IT{%8kNEnZ~+BA$8G*27&Y(G?fKBx=WZ#$69&G*-r z&(A|A&wG2H456u!I$V7PaTVmZ$Y9VOp1=Y#@{PVnd7~T1(N$s1!v=V|dVb4t<(;F+ zY+j6(?WI2XHG*J|B+JRO4|J0ho$9IZX%9cL-&5bZy*mi9#WOmPF<`zm*n}pTcusk~ zyr_Ml=8egz$zrM8qLj&D3ed`NBiX%pk;+Mai6#KPSi8__Si^jHfPZ{`+;f47G6wg} zU*_L3VM9LdLBwN5cALkAQJ0|3t(m_Ls*#IEK$yug5-B+Y~@Zo zMRw?iSz|k&YDaQtD}n=#ZzN3&qEWHuL_tj>QWvBGTY=ry(V#(B6gUrEo2FLx0^sn7 zoI#6TV2wm*+%6}{nK|f?0TH~GpI1@4KT+Z9jxU-%?-^z5>YkP9>x$|yZmB8Jmcz${ zqwj<^7_EfS@q*F{g8Pb?5Z1sT{<_UAd=6oU?C+q$+L!KSu!ajdzIx&5$nLHo)wq?x z0^iEjx|)sx9g4fc4iGvfBdl3L6!ugSnE#Ic;rvQQg!t?e#!I^7>F3)R5O&sS&|%5$ z#`g}I*@V~)l3I!tlNtt^TB>%%H_NQjdig|iQdP)#F@t{h+N)L&h)rv*Yrzkxw;lbW;wrQ0Tj^luxLnZ0_5r#m3>)8){p<=m*{#AxZB zX}l9bk-mRvjNyg}B>4DsD!5qh9>OTZ&PlJq)6y^TP3x}( z7Yq#Fd(c32tK@u$z?>e!7xox)pmln__F~pcUId<@dc?MmgL=Xp8t@Z8ik z@Q;0w?P$4qI~ckS1bKd|Be2q{s?U?-7kp`7S;?_v(6dx#6zFwvvzAQlVw!n62=b}Y zrqIUP5+?*x6VL?_lLqxmu7B$TiP-}?_52(-ria}Y^1H9rax+DwnJ$Rn1YZHeQ^@K! z?)iSn33S)Bcx)XH_p-+UtGHOudS|6DNUxL%1I!OqJ`exBIM@<5twK;WEmUwdt@>uT z9E*0oE;M}5?8;3}K?Jq(Fwhxl1AH-4P@e=05VTuyXV&Q#T#hI4=b2MX(3Au}obf#lf$s^jJ4&iJU^BcOQX|5mv#F5E$!!>X zXLa_SI}wEF`wzb#4eimKqXcs`RhWSh(ScyVEOf?v*&71;6^1$6Ti}1B8`tN+P^!n3 z0>Owcm6n2pS3LW5Jhx6R(ANeF+&~dT*8&dYMhW|@(&Hc=!h+TU#l2#SBsUy9katwBu>*pbkde^(4e6n~9IZcD&dr=j$ zApM~5x$pUN_A&yIGS`W?aR>u2Nan24HJ(GD)r~i)j>P=Vxoo;|B z!@r1hTpxsyEF5{i304tH|p!V;c5oT~Z9c8z>fV@UJC zK^^AYeCLk@eM((NSJy1eeRTSTa4H+^Yhr7yF=eu_Z8W#mu3x*1yqbTF8PVpV_q;Ha zoxd$D$5{v1btZ0|xxFU36#YmwJQ?cCsb7LCU)CMb-*uMDldDr(DB+YH*$(rpf!NVW zO6Rb6cMnC?R~yassz#$RNP3*yPD;;28)%+duD3G?n%uQu&>7I98uUS!tlX7rOwvD9 z4YjvmRu8kUAFGrL(%0F?vyQs4q)Ph9gUO2a?DRA9;V+!DEfURUs2)|*r_3F07smk- zF~*s8e-GedHHd`3`oldoy7-}{{>O+tnXmCf3N9QH6FrjRX@sIGL@8C2;p}mJs$6re%TW_p7DfT^==@sI^or zIk(Bd@=x|>q#;BXCjgJ#Vok1^RrTUTC>z#!fEJW=O(EB7fAUYYu=p>US_hJx1V7t5 z+ZU4D`S&T0#WDJhwLeEJ)e$QNO6-W3>J-^txnN0vg*P^E(ON#C7>IO&ZC@7Dt6Anl z8I9<3_C+i>)*Gz126}i$?T1b=rsJv5t_`K1UD;iZZ$x~mzIl*9lyqenP~)sMSYB?k zlWp4%tE9`b$Z~7E?>|Fg3$k*qVMJxUb%MqvLHYbop!UECdi5i!FI?uW(zymvU?7~h zHrf){$AuHELpQHq#kXkYUh>OoUGK%XxdJE=*8b|k`{cv|SO%MRe>y>85o{e=$98{2 zus;(<02YiCc@#+XzzX(74(HS~ab zxBH(tL8rSgM7+5IJcEgJfanq0ckecTL=#0@=+&*0Hqm%$eH{OzcmpUIY>uB>eBkFy z7kuCZOcyiPvJ9YVOuk?n@Ms^7B>6O_$h|g)HNc|06T}`8E`?^=Gi;;q@Slr0^HuAv zsF`(HND(4}0(@s;E)x1V`>(1%{79zp=fge<%^=)^1=<5n*>jrN{;&E2rBOzxY0~#; zL|=U@?QtVRbvXvg>$>j*tx2f|q|tD6qs7Wojn?}f2^if zaQTSx#0eA-=u?8pW{jR4x~<5!^3j)1JM`0%zK0GEDfa>^3mnF~w;z->wZAGU6Aw+TRN z@B~O7Y~!zPKXQs55H<&SxKjYRI4cCESQsFbBVZt8>L59!@E{4hD}68^+LMbwwlF|r zFZgWj0rjHBcDe^RTs(^n_)rWf6GEOqK};G09if1FLAe@4JsR1ZjN?9z>mn-9sZJ8F(@*ST|LUq?|N^4 zP=XYcyX~TMuWan@uJr$MHV=+UokNM7x0W`J<8K++YfQ!$*_mqJaa&+bQ_H1kP_xW( z$C)wJGN>C)Wf8Uh4^7jc?q`u|#-%PSf4U0BCA3P$rG%RAS_U;#dJ<|M@L1iT20)_C z@Ke0IAvBr#q(e1$R8cEt24(m_9t4pNs@FHCHTAGqi8M3=yyQ+bDgXA2V(Lcr2Ej7&BEDw?VQ3iCF!JBj4eI(s=2wM|(^Kjt zb^rk%(XT*xQ3P@JfCQVyk-cnlNE2!cSQgK$Q0aB7P`D(4g-iuCb3xN}K;Z!VUDiuB^f_yOV0#!2s|D@ko+h(H z;XFInI=V5k7MY6OV=hY!#Q#*2@E#Jz|_LpK;GKA^T?5jQtefIM)8IsvhclD^05*MNqr{n9=0 zRG%|ds7}SQ|0v%c zkP8rEtPXoX$$&xvMPmZG8bS$MePlLVL5vvTM+eyjsx^ZFR3kmSzQ8YPoohM_4(M=d zG-3fxcRi9l<05e@(ef#{76AT1K0W`nO6phJLLh=%*E87M?9#JU35 zmK;z@grzO^j~Ewoas?MEC>lUC_~(TJE>VQpj&$#KuTnQ&xFsK z2cXFVHElrVH?LyGIi^5N27oi>2F7^A(cS`~J>9rGJBCtu&J>7JJgGD#V8#9LLrusw z7Y=+SvRC&rD3%2=NR%#P$wWp1l3#};6I=Y_{H^c zM?SIzI*PqH6F~Hl;DP1Nc=B=~zN_-rF`&W5b4v#{d^nE(tw1gJSF9AI^LwjS3)tR% zBV0oIBDI{AcDU}9X>CWwyAq~;nDxL9gRldNmF7OCi}KpC1NluBo9&w%vKcmC&~}VZ zQn^G4SryAziB#PDR>jTVns;#L?t`@BO~t^4cR@+DEi(Eu9Ie6H@glv^glJOwoU1NQ zz3>HFLt}C4+~$W7PVIyrCsJe!s95s_VvntZqzuS%A~}Q9{R4uELj)G&(toRAVxbvV zKm}r#gC1d;RiMaeMuN7sY8;y;WR-DdKdAo|jF9T`D2pzm+XIii7yc5mra4NviI(0C z|M(f>oBky@#(9lP_<)yBY;?+wtB-5-EP{6SkoL7#*SdW6@#KmpQCgSRByJ0n1c7LM z`2Km3=cI#tnmu)tcMqa_kMyNa18d?5Z;~To5g)N$cCQX#}UoUpf1z{d{B6e4@0X-u_=)i~3Sa}XPv%gFf=zseQyoJ7CZ(fucYf=1O!oDHtfTr8CVZ!XwOvW=ZpG72)OK8D1KJOIsdt= z5RVb0MWx_aep4p)HSQlPqam#2plSM>fq19vxT>58lTx5^UsW^t8KMZ1YB(xp{?W zrzU)7l-_lB5H9d{e$>o?)!r5QHrJTF`D<$=7|-h>HI*Hf`5Js>{Dq&RzSnh?x%?aB zWMi6)@r9Rn8tSi4)EX`31{{=Dr|e9rhZTo51&VmRSCL_+*?wh;^TxK%oW=;*27?!z zT+g|dD`}RXA_lR z8QeB24ap2Pzd2ElUTf03Q5oDT(GBs_#2IsYccW^=*ZrJ?9=bpK+BW8`t(Z%Bf3v{; zUNovY$%5Wtwl9D+^RLphcV^kPJ1#@659$8RN4$tkJvy|)jo##kV^3X?*{;sl*~JR& zWLk-~s6K6C_FK=|ukDSJzh3eZYCI9rZSQm^_}0?=QQcJ3t0t|> zB@xm+=mmj8XF5M)43mVUov8Sdp>uOej(YuUtE35e*E7Zuj)L--%WBbvimRSr=na2- z)3LTu?zh3xP}%h=9rjZKSI#@9=i1)pZLENng`u4481}rQ6IA-t@6;Z#oHR9`R@dA=68Xh@)7uwr1WTC2?;+0ny> zb0Plk<_yzuh5oofXWq2CWX?;z_%%-^SR@iLT!SF#yiRRa@6z#HMg{UdS2P&*bL9o&|i$q zdwnd5i6&FE(eT?l*eCc7&8>7c%<}c!X!sXB-*b!yCtvzQSz4EP09LrfpU8Zg1m451 zjU-rcSsYwt`?Atvy)!+ig=_7h=ZWSs3E++=pQlW#7JFAs1!6 z@G<~|b`_sUq+so2;8py-9Q!dJVOqruFM~uB;2%W|2O)~4pc;Zhe-OYykyOmvtpr&? zpfRPwJ%@eS%`1zq*T&M5n9yMX>r+bZHh~Bzm5Hj>10YhFZa^a~uP^k^I7^O*n(q^v zg5Z5$lx5z1gr}B$3gVfCfpjtT;x(u@gr8HWhL_DFkZ;E~*TDqQii0C`wi zV^JcI2Ov2^{7~{ZWqB>oUoFnq{u%=3`(pKc(1*D=qBsXP%3iKFu0)C%2#lDtU&#F(Ic zOxO9RdU|@2eDT3QA@DHqBgpW$eKLxzmw+YYibc$aK+ZfIhXK#~oQbK&?~qqdj1VHT zodIJsLxln*Ou+lqeMa=-;OR= zfQ7jI{{9Of=M@Hc%)qnu3bsL z#BhxVL%0H23n6@D*rUtu&=-uU72o5tCag+2Exk`-M>lf|gAlUq$ojw7dkdhpzBXPL zcZcHcF2&uoxV1$Cw79#wI|Yh+@nXdtTCBLcOL2GY+0g$d=aX~q+_^e)XI9>KPgY1W zFiFU>pWj*#kh!k95gop?dT+^DN%_WJAv{0ZJE;ELa~i0#p8~{a8MgXyipuMac|7s% zgn_Ii(Te652dYxZ!Ufi_WM76I4MV_-+|j=!?0mEV2jx^zzs$C8i(20NHe5Ne0JbBHc>y0ePlzDNt&W#huKt} z*I^|^%~b%N8>1B(+|DL;fRHyBJxI^{Mvt&zLzCtR-}2h_NhXIDIesLG0t!!9m~xrE zVdpZ*cSEC%u<)xz4Zw#9sOR%$w=p=`O5ZqR6Q>BEA_(xU_K zQZxyU;}RVh)yUP_nEHH&%9!Cfw9U1H&@Y%Ry1|d9w)gkm+R1F<2ookU2F%EGkriY$ zP~rx-NOY08WI=S?Us$Vxv5e=?N+9QK#o(s=EIG&m_e8jo(av_#G>@vXw?D$T>ly!} z)mc=++I(7{$QKGD@sdt#&+A`N**Y#dt5?6(o(HS10k<}TT(sUmNz{@|vMC%Zkv?Bn zc#9xIQZ>ZL69wOW@|mTKi!9r+{{G2bxP3YLcco=F?%M#v$Wl?Zo~dkGK6B*;I!;=` zG<<>EkTKuQjq^oe8v-RnWG4lLsRXl?FT2&qZ>YZ8muKW-?dC;Fgt($n z&{F4_X|cvQ=bzxMIn$F9g_F*sOhC0<$9CZ;G<-eL>-GDA8NEUqS7HZ zWFv@;Oxegp?)e`q~w$oT(z#^{bKqRFXb+kymiX)eu_E$UyzdDO)Q_4_^X% z&Sq{05gowLKoX3!xC)5RP_4-LwU@c*DxJPhRC{@0S|C(5l52koI4Xa2RK;=FPdeQD z5a>4T)dgU&rW0?!5a?wlTb{1IiYkY2>9s?vvt-FwkBt zLMBb3epbaw_14N)2L$9MO^0EGDf5;R9qLZO%>bw%#aDfOpk4W1i-Yw8oYv+->tf-K zS!4H4=bYWZW_14LK_%VJJ)|N z{`Rue#gd2Y%A-@fq`~t`rI=#{BOHekIV@~u1=Fc_mc6wej{Q6lsO|Cr)Wd8i+j`%HECZ0d9R9v7_E3yVYU8`tb%Cj#o-JCE|@HS}ZmDeC50 zTpV3A5HM*Hrs7H0B7r9Y4?-8_MIHsEW83JM(r{%YAEGgGE-8M&VSY%)%=sujEJC@n zrPwdd8LoFv`Th9EfYck`<^BSJ8pW~u`Gk<^x(30((L4AHp`BJ+^1rI=n8%ug>0_UV zflc{SV|u32eVPPAmG!ij`>DZOX(?O5Hcvep;3cyWLPCs)0yE-&rGTG`C9i>i0OOc5 zQtx^q__0Ifr)2==siy~#pkH1a|2K!9aWNAiVj9k=D%7~ZKP^VAA#`>#J@53lug8vC zeoHa!ojZG_44c${P>0s4Y5U#u*w!ka1CNh2C?R!sesLNsSIpw(gf~=wr1IeQ;2P(` zMEKpC4Zzf$9~^^6i<3zmrK#bv^z}BOzuFXsb(}dOSt=7n{#xC(`+dE2zCI%eEKq%a z`EcsYo9^R3lXs8tn%Wq9$(w?2d> z#FH_P5^hI{>tsXO`C%M*ZMX?%v5ed&(FG%mb;K&dP4%ch76sD;oAYp|!H9DTuuaZW z!+eHjha7NC5#+#ieVdNw^HV^Gzhn_fWy?5}o?Co!{aefo3h`kvMt=MT`cY8;m|%SiW*@U;)pU>DMLm67^t@?w&_nBMq;!g!SWl zyu@93b);`lPxBKt=9Rk$M&Znulq@;WSxc|5?dN6(xR=ueHbYYaeVxiBVB|W?HOc)x zMPsm{^bi3{G5s2PKlaMf9#lu4N$#5}rm!{}c~dCi4gOXf<*BAoz63F`115;!_SDwI z;>gGyPsH~L6TU6dH`%kgFN4P|uf|hShUlxDJ0i zcM;Gq26zu8I>;9TJcAk?w1Y8sx6J#gL3+vMp#I(}-PC)alRAyC;pW>&2qV>(Bjike z^z72H=$4&2er`J)`zKeyRxiEl>j^wYv6hy$?oSuf-=f@XfL)QVjcQc#ZlHQL*`~I) zD%%6{GiugkaF7;dvPe~IbFcSz4;6IeJZ1vzw7JATY+=`gsLGslC zNcIo5h?C?XFpdNoXIx*DPlb+GviRqXRfmz`DMHSbZ(H{7xM-cAIttrkFtZ;u+TK4_ z`M?g;(Ye_cw@7bYv?{(29aQG@Yjn7nZ5wN?mz2bH~-l5 z4*ijSHCLVR%HKaA#jg7v8pT7U(}0DZ=RguVq>Pbe`sV9MRz>%419eCVovR2xfu1TX zPDJf{xltyncP*0b37~g!mAZ13+Hxnp7aUZ$$V8J4ojI9^C~2ThKa?|76Nh3)`O)4f z?$7O6XZKJmPVaf!k1pVsSyoo`e|wv-gk53_J4VE1%zbIGM0O1Xa1-m`J=0e0FA0M; zMB>^+KR)r*v$YcyzWROVWvcHrkYy&%s^}UfgvE9K)KJ;78=!hCNBrumCj&B{MFv>S z`EF<7ICwXQGb!yyel#q_eGfE6?#nXLL(!8xW!-#F>)o>wmNilFOud5u!$AUw)h*vF z3X+dXBazmLSH!LgpVP2ATKA3+j`*y;*si?OADe@ks8@9*5f$}oc4oSA=dc&sO1Ei2 zEwHIJoW?#H6&EY(`t^oGiu=1Pn^DYp%*&)0+q_4Aacn*M0uV* zh+9QEUqnvv#ijR}Q~lzFE6fUX2j_JBO=E*Eo6yc89ybbQp0Qtkp4!51_jdl9g9Od3^Wc}I5^P+8 zO}_0_dJo$~!JB?!osX#H-(bruDXK=YYpo~?hETMYqzp-ZaAMHa#LpIvjumM(o4p@) zMY11mN)*5gazp(X>#$JPRl~&X*~B)RQrsE!de|CyxYRD#(l&Z-6;{oresfZd0bUAo zxgpFxy{oQamb+A<$YK6>6m&m&?ljr38!~rd+(=$$5A-!lN%G0-EmN7mfsAk zalfro%CR<1kw7u2m$lpP9u1sfQS;MAL~K*^!`tEXu^%s&lWQyCAhn zsO>ap-Z@<~J>y+bRy4d-Zb2-q7*UT?CHUhus`Z?}W1IWR+Vm3!e^@EmgjW7}LmS}P z1Y=cWI5;318dDY2_TZX2k#nO@t(t2ZevN<$ss1#)IG84ZY-vIToCKmYpA}?ao0{Mq z(X>9M)Fi==D^T1NcrTc{ENAJLu2e#EsU6n(?VS4p>{=^VcV5O5Uz^y+yW7FP*ns(Qhw!M$oI+Znc`2 zNCeH#8MAMB7$}=6t@LX)g?|!zs{*>qy^7ER-5GM;NBMta>A9bVE@ohoi==}e=7zJr zWog)IUwsJqGjQv^DQ-T%BA=L1_0*X)2s2d0@qh6gO#M!|F# zWq8K(dB=P_12Np*DY zlsSzGC4;2uhII_aB3Z&yC3O7&u*Zp(itj=r!5_wL3jB!^-@h=k4 z3W$;GWdPoY)BwkB)+PBo_lx{_&M6L|n-@bwKP>(u%sYm=#=o4VEBLlxaf&l*09>~hswbM=;?;aZ&`+aw`4^AaR z5sfW}jm4?KB(R3$@;&Yv6=^so5$okBsF;u6nKN@lgvFUJRVPZDtxOfOC>o9$fA|yH zmCU5&o_rFzrU%e1)6lQJm~-XGHQG&bLP-IzQM^#p3!dz)nn@ObE;BS)#U}IOF^h=t z-iNt6&i{Zj6XeS<45SrJ3IsUl=;|Wy6>(FDuk}M3Uc^Jn7Oj2qB^9Zts?|fb)PCcxCG6&MKQ3ku;IvOc<1&47#RS- zNI4v)jGck;%pWnI`J*FWAoy6AVlbL=S}1s9g0mZd8mF8(e2ciF^Jya(Z7@Y986tiG z)UhNf6u9ACD1ax6aDYSE3vd-|qA-9lCU!GI$hbLqe)mn_j#i|NKvBev<(tfbhg1#W z>jMzuf1{1-{s2teN5@6$-ZzJWkvMI__5)XGDVKQu5e6*aak?(y6C}5l;C7mz0bIHG z_6sJK8+;2{%H#%V;H|Br>ckkYOrahu*&s=tcZlKvD`{f!1Rs*jM=nPxlOK0=M3_#A zq&erC(ZlhVL&6mDX^vcrW4t!fm&KS&NTw*NCVY@xzK-@8CIE9p*5}C6)x_yxCDqj| z9DF9P4xPRNp_v8ackOwgr!3WXq6*VM&d}eor7!O@1ei zP;Ch}1s=|t@Xxg`&qk}U*FQ4}Z^tdg@9%A{ypy;^He4y`j?&u^3z-4E#1qTurAjiQ z&x5JNW=ohtCMb(I^DjBd2qQ{mwPnT38e^yT1RA;yBx$ChDRUvC*n3Z%kn(6hgGAg7 zbLB`oPTKTnd@O4@WQ5)V7^NqJ3yIH!Qw!r_;=1u-oFC`8cUMuRu;@+XHs{~VjWHF# zMbO=KV+Gh4@-jjaua>)Kr%IhxY1n5#klhMIjalKdEP+G0IQEOFG-uS4xxb$LgAnBl zEex}0h*x{~mJUputO(~_JHsGrRo1Nt#Fe%;JcYd$uSbsUab*#Iz^1WzR^t7moqi8c zDXltv(nkLtR2wibkYl7Eoc1a>`$yuB&;@MXR{6G;#RqmBpZuy(VlwtE_a$L{C6=NU z&l_;*;lT)cGAN|@FkgSAV~N_;I!{dbEu$o8BR6u8M5AJk`oYlAgrDHdSn1>8p(J`Q z(fqyr*Gi=nl#m#zbu@uKN3?pDV^0}Zq~t*s#7QA>(7iF-{Pxzu@vgE&X#f_V7&F3R zoIi>Jf>f8k|5#0SwlPAuIrT&#HdN-fbkh+O;lY1~hr0&isED5R9rcKw?^YlGoMf^M zjng;Uj@%Y~??HIFiydR&4{QIECF&PhTd~#5+b}CMkJORdhkI|!#rL*$CJPXV3uq6# zb@ur8K#DWOO#o)uMD$q7e!4Ya?zx@r7GQGz&omYCUR_w?C!$G<5$C4|{R{BRrgT ziZ($>aLO3|CYbGTwGk;iTI(xk!oJSDF4{Kv9hg|=$IO>TFtin0}YdgZwuavTa1OBl=|Hf{5-vR zJR6z`ioHMmNLbf;Niyd-%o)-VkW6+WXdL>6PmB=1j;9R!!~d&jp@(4RQq%kE-R=-w zBD~H^T=Cp2A@7^Ds#VYlBXH_FN48TvKN}>1!`D5SgTzChVpU0{_0?aa)3 zW_PDt)UU|i4<$};ZH4rUmFj37cRzyI)2r~*HSi0mP@8-IjxaKUF*(Jf+nuX;{$x^1 zQ{*r0YuYCP@0Px1Y!rj`X*Q+a4w$JxAGKY%)4N zMgYov7PSiuGK0pFwx*@!=t&VXO3>53qltMW;kpU+U3P6!&=7a6eO~d07oZ`f_IW+~VcTTDF0xSKW`(+w;S-TkilI!4~3c8y36RYpQ5R{FGKM>8G<+ zOyg2byqKr_*j_LU&)dvkp-?JDt(Jz?b4v?lmq=wIs_&I^$+)JGDSkc4ctAsLGsK`k z7sqT3@7-p~D^YMn93@mA{VY|FLK$@*d}7}aeL}JhXg0Muq1@T%<64=srsN>aL#EAd zRY^82+-{+Eo1J`erMlm`<&m^q}VIL>SiW(vTUA!aU z4*<;eC&$GFJMN-*zGQbpXTeHeLS1Iaet4S=+zkzD=;y{uNq4a36V_i3CpaX0*uPF4S-dW;Xf$ zuzZ*>0a82P9<-p2VwuzLcc{)=SxXOF_kIi1p55P>oo&zR-@Oe%@eZm(L<$TEu&Mu3 zQ&OgA@`u@KC}8R1Rt6|wL?l98QWt83o{-`G#SoW0HgsZpVn334l($#lwy)V538eBP zdWXm2vQi(hZs|1-GJ<@MlegQ4p;FR^9y9XnShLR|e#0kd$`QWcqsp1H24H+Lnd5Ub zW`o;3b?o%Uce9;(r{((#*^}sCS#1c6D5+N6z$a>bM<;~F=II7Rzex26(%=_%NcP>q zU>L|Z@+p4M4THp<8hbPzrx}4(Kf|r>34T&~XoyPgF?g!~u=9b0%+{3xoBudZ-2n!$ zzSuQQU!;174-Kybz?mWp61V(JCi@+$$nP{dB#U_tprK*eH^Q$G39oYff9R>(&PE`h zXusF{diow<+7TIc3Md+CC%(>HEniSF#lF{LI=+F&wi#o2_J%BzFb+Or2#^XYz)Ok4 zUh3EuZTtVAerKB<&@wrvLr;WxVRsp|bzJx$)ajzDIb6Z-<$pbJeLd5@GWW)?wHtNG z@Pi2#9g8+3@mhTg4AQyx8@DoEm08u^QO(}UFT+-YHoa!u?cOBwZ&%Jt@G&DX^>R^z zhFXM~8Ex*e)!RNvLo=+%1q^G^fE{|epAG01qJweYFG zrOVQ8YT0|^IvQJubDxcS9t^nitJ{6ja}(@&+WD=pdhuHY(6$v#&Hl}b1ayns({CNQ zIxotwmZbsRzg#z>l{hM0nRlDuIAC|-YjSN6|3$1aL)9%t)L4|Q>fr2#XZiUpuaNtz zP$|g$NeIlNg78KhXzC)F3YDroN@{CXTYImH$5|=S8PInbA2TaS} zpi_K~)i++~J|^e#%+{X-{M@KXe2ZPGaH)jK<8GRpX=7U3fjuWCn@JT~BZSKQ^3DCq z=yAT!2Nmw=>d?5^)38zFV-_s(GVPm{ko@{Ub@`fJOWuZ5M@QbKO-H_XImj`>4{?9_V`-0* z2oAWdEjS#k74}DJtN7ZE3s0-L+K%Pksq59;-bS#w`Ezr>;)70&D**AGBnmdXAUWahKkRl`tZ=HTZho9v#{^X5Qp$5g#^sfN)+00zg(L3uk*HThZ@2IrH5ZQRPz z$!-tGqyUDypQo9Vr=kb%TPLq^0Ev9-l0CWvtWy)cg6jr#za7THx~K9OxRau`6pp&1 zt*9g{j(UxYSJ_{D51FIYf}xeb6y`XxkuQ<-N^za9uC|sL!{Asx(d8A)v9NjNc?q+x z^yYdN>R)`1nbiFlA}>6|;yB+=WuIZ8P?@`0eqF2(g}G&K)iAn8QG4a*yN`#vwH-H( z_!)pz`K_3&2)ZE2qP@go0WRIBH2OW$EdJEp{BiB8sm4X8p^b)}y1%&Iu+2fn%4~Cv ztlR-*d9b`i;_${@xET_@`k>t45NBjcV)8V8UiMVjqJ;X7()VG=hI`bd_;TfKDcoWB zv%_)+L+P@Ul^&XgC4~-|WsA$QUxf^1hUw$ZKU{{oGgLv_3l(Qh+E88f53h_uE?R^| z%zOpMDeL+yot6@pd{qigUe;AIh}VLf55TNk0gFC)hAK(NYCGfwfc}PBO9DLYLzLDt z*c%3)=_}R*NFO#Nhm=Jb>9L5ZfDl8KRVTFDw5#77bJxys&|$Ry_gP850oB%Gy&V)02M-oYYr3%^t3#m#zieHD-=B=^J= zE378?DC-gmK9}e4&IhJ#+roQBYcTF{hdtndfge$%xJj+i31Gf+ zTK{tre_-`OlIwu9Tqy3SXQue~u}7c|eOBGFN*&TUq!;jal=t@o4;IplLF{>6*v^+B znh_wk&ggB`o!j;9p+8*G?{B2f$+rU97;coT?JVwLQc>JYE9^3Eksl0s+l8Or^_gYT;t#%kwjza?nST%s= z6JH6w<|o}qyw^RIRlv)aHNlC*S_#E{t*q)PVj^=omO<9-CmnpEDm-*ge{gOoLyhbr z_YCX=r~-?n#WOL!Pv(g#!5i$2*+vAiUUvCLu*OU2Py%yC%+GTwIL~tpz#NsSuVfKG zy3mcsWx``ePqv9-q~rm%cZLCoh0n0hl%80YqBS`^vRuOw zMinLZop9___=BI&G%d|JoH7UCN(F&lJ_P5X%9*O1zsRwN06}q}(wH(4+ZGsGT3q^k zw~F+*z@rtztH(r^N(l1p8U)r5XUMaS-91!yAm zEDg52sD-Zpw1=@RK^vh6usOal_!sg=^sE-rh{F|WbouJYwGux=KDQUhSMXmUAIS^k zg8(33#6KWk;0xqa0wAB#Gvw?1KMC@gDE|%eX@61s2jp|J+jBtsj_?o0clgZsF!}#5 zzU{v=KK8BX;e@{!pU~pi`)9_d_7~%WePMiN|HAlahW?%LP5hnl{W39kP~O<>mg?M$ z=O={b?lptOX??=5ZPC+yQ3ydzDRZNs1(*f0*6#QpYJs|`m*8GpslpxID*hX5#~5Sy zIxa$q=^q2Kf+i-$Xw++FJw>DZ7Ec9&8X1Wdhbw3YlgWa1*}t$R1!MKhplLy1?NY>j zr^Q!Iwiidhw2(3QjGV(Kf*y?V&(-Zhf#Ley==p0%K^FFZv<1%D0B+gSUDd3vnCM&s zDzU_vTihz*-XXT55&}UtkhO%qYxifKnj=nJHKas4AWOF-ijtS9U&?!1x zflmx&!qC%zk7cBT9L`n_6EvX`@Li!;bZvHW^`~%TX5XhgcWo`xA`8``0{MGtqKD2< zu`T&99sW0TP2E@qY?AHCfGWrs^05PQQ3F+df>x+bu3U|jGOLiSNns~ZZD$O~QQl9JMVg7+&p zu;(d06#TO%*q7p_LKWz1@ETqDWY??sUp&DXx`go7IWNA-Z9ezf_SRO~^#*Yd{peR$ zbQ5bQRT6~$uqi0(S6dmV@G;==w;%oHP2en7kVNlWJnsdG!cCoG#iaWr{rFW!0agdCZ^iq&b&~oQ5TO3fx^;Zr2To4IS z*v>2t(oY3wEn+Byme$$iPehn+^T!0b06p-Iz6#k;>6|yqXC)?zACdXUQX6DI;4j>( zsc0k&d3??q)7x>+XewQPE3=6z(MEB`6c?bUlYnqB;qNb`H+q%mXGOPDE<0Ck6PmtT zM`QoNyc!)`Z3^#53&<#$%KWUf=`=PQ>xd;YjkACKz-zN}QnQHnv3b<7-?fBRcuuCU zWI){|oi&m!_U;nbh<~})9E!aU&Nl=NJUL$q4R;3@tiNgabg(n_|3`fPUqpPMUz*CS z8g#U{qd|&n4z!Tba>fK-!?qXpci;&xm*O(sM0=(SVU>KFh(~0X5djD)Y^HWjJ(VW<&(jb`X@e8F6iA^CPdhha$DDy2r2Mr!Nttkz2!nvwkIIAw23p|VaRdkmQFaBR zxcM`mpMDzs4nYJVU4-*EN~mK37|Wt4w6{S?>b)L{O|e#pxUS+n=2u<6L^ zKzPOPyozCwN~eNoh}zp@<+twwWnn#yDVNKm6OZXT<*Y+n&nM4j&$g9SNz{to$Ave9 zn_giQ8B)d-Lvih%$ANPt8a;VFben9xQ2K3!IjDy45!fu&#{vuZlSCBi(qXKYT+}f5 zM#kA}#?LLFBDqVZYgD3Q{nTxs$Q*MCf&=zdP5xLJr0hl;Sqot~#Y4!AYQLQo?K-k) zV9jS5u2i^W4G+Xd%Y!X~V+CSELbuB3PjK(H_R&M=pNWBEbyXiI2f1?{!_T;i>Y1bHaNO3^u~p6M>-Wmq%3&{7!?Gc z0(r+M)wj!52THq`rsG+g>$})JYFOsBgLASs@Wmbh+lo*-<~0;7O^3q@i$UqadP+7~ zGmnpsFcD}h6*g6rt+kd$%L}_3hmW{cle9v9Y;N+GZoCJ_ATt^(V681k?r|C!tn{5n zcXtoWr19m;!zV7Hw^r3{OZ%AL(g>#&gs2ui|3B*ZrAvx#+2p^5)X38(S>pw1-SmQ~ z`#_x#*I#3Plb+cVR1AQKx69Bcet%96*KU^zNBbdl%OIOw0P262;#UC?5n!x+dI+(? zWLqin%I%@S_x0$(3*hUJ=c~@??7&bn27xnI!|EsA{nYcMG0^^&yYM9S`lp_Ta@-vP z$&~HlN(Y!}61uN*N*z4wUv!W3N7=LFrzA39`0k_IDqiht-LIkEuWVK5Q9MLDI|m!q zA0a|oi&iUfUcInB&CfU%p3~bSGlnKQ@#0fh0@)eJEX4tZu_uQ>P~N{=8;Au4=R+;z zLpA3^p~@(!`Hzi?3gQs%HLg~|V-xO>vN&-i$@M~c>3A94-qW=!o#-Ydp6@GWtv;ST zK3*QXTzz_Hb1GhsJC~x+KQQepeZy1%{-b3@RnI~Zt8S95W{Qom*n!}D!A|S6VkP8i zs{SFR2WlfQX-C|E{Wu13AWeuiv0_uDv%h{kyLuX4gg=Yelb%Rf-U!xTwGL8rt)>lj z%~5RyVVoGM0%c00fBQ3Y#T^DQ$L4Og5N%Hj8JHf*4ey!C)y3eBrrELI%!fuZ@Ws-j z^40PQDTM2&%U2l+tX>R3*XUSS4Hh$o719(m>ch7^_nKIm7CS}7DJ5O7!+W}`Uzee) z_jGGCqx95Q7NHjG3|e52Z&dZWR^|laBho09K$$;bL3_H4QeiO`lut*@{YJ+&$Nn6b z%ra`nxk?tGVl7Z-TQ;`Xy|g|lkri60O04>81Nrh;3o*)Fe_Z< zah+rGp-X(T@;bT#XORu((-?Ogi(;2kKuxRu109h|T+s8}aWqP1-l=QET!5Fl<7}6> zR8_j;Kux5P<3LXkz~SIbq_N?NXEqH zG3ZxBI?7|6(60`4_4k)VCjL_S=H&pJuan=XT#+iYHNGL*%Bn0vVwPWROE;+VJ_i4w zr37&mz42x{z+JO8rv@?#F?6}PqiB6?-op$udDnkMH#x)@-!NJnfLOuTkepXFG41kN z^Sy^V6RS{$)OHzkfu%W|x!>;Ae8t{87sU2#iIFB^om6exm4}t26SIr%IS+G_)$v2m z@_$$OU|zi_d`2${AMGE7?*vfz0+O44mIQw)wfUux3sYB(;lXZ#!FiCGn7p@}Zo*LS zf>9cS`>9&)zd3yC>zo!Hk_=T_W5&jm1&`*wG7MGp4lpcI#a}TSX-Dv1PsmxKFInpR za0XtU7)KgaJmIqS_iaI|X1T$YbgA>wsGilc(j>cGz*PwScO z-ImS2#ll_b7;@ws^wSV&Z7&n;+`nw8w{ma7kmp~pEbwGOLO29A_LY09qPjzmAg}+` zuu$4>H)8658==1q_gEX}OL+|~TLC4{3hA@N2XMY~5=x%dc-#$=0JZ6`^xxTJLSB=@a#PN*qP~*t?0Dtc|#qq`JW^IW8A@L_&qSPH2gHMt)5v;5BS%yj3`4>9ppSL zYWp&jx3fR-MCfZ*(XwH;e=}48F?xUO&h2>TNwjL=hX>&pTH#44Tsxths%hv&d5t3S z!%ZuUNMuPz5i$-qP+ig~cQ^(!+??c#lc=ix!}fCYUfAA{X~TPlsvze#Ciot$pG+k_ zFr1nZ%d1^kd=r|$c)@+ zNu?z9Q8H!_CG;Zk|7OMqw)4#R0{+JMlK+MA{rVf@8wD6&)bi;l!1(He!Knl36d}Pe zzt3wRK_ju7_34Yv=9yc*R7ZUYM5zrgCC=O(&OvMuICyE&0fW-2av7-1LhFEz)xe$ef9bYjhut>U)T3PUQImYGA zmk{)EcgNS=a4P=Hzj-|3#NlIg_-c)S;PVd$%qY&fX|H zE9ubtMn0++ zs3-A^{v2P>9}-!%COV`&-K9G#>E6^S7G&-+PiijXw-yC3l?ujGK;01BOaR)i?lD;fX%n&xccMU6pU68RzN#O->II7X0Op$;#mhk5UvQS6 z)bI}+PT+fc(c# zQ)B)w^{)1=;gxTyg|bJa&S4vP_J<2URa>4^+q(1J1%_j%u@tez@@E#Z zCUyV#dHX5|4}g1K!C1e>W53_vi@otB_o7tAl@v!%vz9S1M5Y`3My3u+`(2s*pKDB; z*%mS4{}c;;4hc8Ic$`qv3F+>|-;Akne3d9VQ<*G#u2@xoyeA>*kCB6{ECxPz=r}?4 z$cXE8=PhKI_TCmBGklFnU&~5NWR8QU?^feS!0P`h6O8%ZUft%+KLWu4!ni6$>2z@x zCUlbZ$>fX$J2+}cZDCuLNUpxkdw%Bl-MyMWoe%;y&pD*(%~~8`(H6((5MN@zJ3o~x zjIJBK&RH|c!@M$GeF^p*tFxwR?MyeIX;3Dm!s@M%1T$jJA1~}ygxd!UD4CE*EPGBm zjsK}3qDqzZ^xWG>!r@G#Ftdb2b3R&X;`*b!MWL|P-n{79JhML^v3*SJ5%UNfOxSn& z?J3xr?R~xmOUTR4QWA*9Do+i8Ak>OK?Z0rQz?vANiygTY=8L|?7o=Ln-K+$ArSm5T zEJ^5MdOT3|UFahpq0mbbctUist>v6-jScj=ol*H7$?q_Y%rq;>roB)cy$nTl2dRzB`NY*T4=8Q_PK)m53KB~bS5wY2ZLN~Z8QZT$byU~OAsr} zCkB4khysi!)_y<8q`adt*fU{2KIeb61%ly;`>5JEQbTSE>U8y~>O}uN|9i4M+i!MY zvg$?r>lD{D3;M8+lO*iHug^uIjmmyBB0|;ATT6Mg7jeGrbtMz@5+|L<6>=Q=(v?KC zycHKYsD$r_E~2$I8ZsT;71Jyu6F%;1C?)_k2ya^vWPVQTu*6AK#8Y~;MMJQw?v%0@ zjTy`at=dj(WZ8TFE(!#L2Y!M^zJmvc^hAg{?8@E{d)CH5w9=bRLrnA$lH4WkI0Mtv#l{*OLik78S8b56-#72m9E`>K z?G>LpgtV8-hwV=kB!#F!B?laN@~kz$>W?54we|9-s;<`ls9` z8Ku*4NbT0<`<%`GHVBA;!)>ei#BU7A-hjvD0Aa*04k|F=aV?X(SBK-s{9nd+W50U0 z@5mYxu0v1(gb#E~FmiwE>9*XSot%vc5$q^d#8t2-4Fq`Ae>Bc<;Fhd~DX*1;NAD!?uZ5ckvbTsUbrb0a-_7V zu)q90pv|o^P_tpMag3F^dM^Ko@eYYXTbViTi;Oqez_Env!~G^}OR(1=2zQ0VCo9_u z13Wq7sbw){0*~7skN6N=Y2vSWln~R67*~Ppg{;P#np)XB8{UTWIJ^NI}_Lf&0F~OVg5Bnmln;kG+$hKoAXLAQ|u8dJxl318I8;Rq75I}qEtntH^rmU4+33%^( z2M#*l=6ip`S&^On{B@_UJx>1H+gIve+P+J35?*t&0{$LUwr+tH1kztz?@xHQ6La(% zuI77USE(|29EMzdXq|-cA9~8RtnB5k?Hzwh?LJe!#I0`ptjpW;+F87rO=V7KR8x~5 zZ}wK{C_VXsRcAq`*pI6I26Uaybe-08osi=b2_Ozfe( z8N}p-WaWhPW^b|Q!8u*?VgEYE>ypW*fUT2fjp|N$cJO}V`&j|1+!lkIdgWOe$Ie$L zh|%Ff*ep3%tch8_?1V!RWuCHQQJ$sAdjA^O&Qfuw#Iwfyfzq}>SY$W%D%5Z`8#v!2 zNbhLR1VKUeCXIQIRnGU;T42{D%?u6uHF4j)jJzaGFy&X|*1Vvgp^xTkXZOeY;1eC% zPKA=&hQi-#H;ALc*J7lxjNvZ9(R4=&4sY{iWo_iW^)uzDRAgdZvo1;YPyzXeU*Nwg zRWu|Iy};))H!q++efIYNjj!{oJ5HHK9?lducc-%sZiOgh+a%7Z3)jfzI`vP?WRo*+ zfR9C}tZ(20-*F?(X73lC{k><6Z)XDkT?zJVi9Oj@UDuN3Zl_N)E*O{uMb|I>-ZN2y z!J)+|o)q9If?J{1g=<(2@{NBz!#xwMgD8K>vHYHiH8Fc^SZ)f610DTG$4^AjkRTZF_nHBXPb#(4D!iCzv_bbFZ@F7*PgkH|x!dv&ZVcGd?E*Bu z#63=IjVTJR4&XUA0_YMzoShd1eUhCQFyFhoFMbVlC+z9U)Z;c(9_iMZ>%ck!tDfWd z+Kw8l7>{$kN0c9#`K*h00Kj5YX7_Z&*fPNi?Bu^ibM@x}N?&w7^4F-${&TD3F@O1c zKuZoRb}?(|5(O>DrRMUIw}{Ijt6pE=MvIXF%84MB2wP2`RMrK?>%dL9y2rU+@lxP*}Kn> zuWr2A&-i3Gxl|3_@R+jPNLzcK9Q|ro{ZB|2hQDGD!c~I#={7r4!;1D$WCJ4inwho4 zwc$z+AGfycn-_u;`v4`g?V-gDDco%_dX@?tI&G z{f8M{dlbQ&Uom)U1U~+}K;B#Lpv!ZuIy&$8QIYfyCj5i>fAOe9-7jz*^LD=js=0bumVp&1 zD0xZkpady9lS_m*r9XBM)V%tzBN1CA zjI|P^fU<9s+ly+nQc;7oRT}76k@}kTl#H1A&<|P$As_I!X$VKnk~IiN zyCA0RbJUEW6*y971&Y*+LRC0YkJq?=U;&!tzA2tm{q@+J_+F;|&#oQ|j_txfPJQV^ zdbQthke8*rGoWXaUU96ZgEbN-*p*woD=!nP2kz*=p~J#LVDYW(b6f5Nr&?C`=Hw+* zu25-VM(xo=Ma`4=4*$p46O6c8>>L791j%Zy`7~pm7K+4%GPwXytOnfe@7ZC$gzo9a0gH=FYBX!8@&++^1LxqQ7g(|Lc>vDE}w!<6=^7kh5U32@HIeAr`VlUG|K5Ue8 z>VaS)q7$DWAfF3h-JY6d^ko&YBBdlB{bf9L+(cwI9HHt|2Q4^tNg+VKrluh$OqhVF4JuYjToR?|z-zsm}K%x)RtudBRm;2)+I<4d7O`E@HBk?a26m zggFSzel^PB6QRokfs?3ZPTt6V0~0;x6nLE9TQ|blCo8_$C%jzk!<~+(k%=+1oQsNt zbTk~;T57hrF|@wl-E(Bmc40JY;`G{H@&+36mws?^{BZACii}<%#c}eLhaTtl0Zh0` zHZH`B?2kP_GSTbdVN%kxwyDY79~c!8iZh8YXb{4hDolN85jFm8m-^Zq@tSl%Y$}_p z$dolXSO;+keCy)7FDz4d4eNLj8fnP?qjUYvCm*fqAe3%4djE($883y+-D)Pyf zIsQkk%(p)jXt3^H+5a@7Z?7}4$d+NwCxGLf9$@WV2A1o6Q?tT~34^B>*Z9~AnMhCH zXM$m-=B$!S{+_{e_8e2(?)0oMAA%~zDUAV6?N$+UrpZH2Q3sz_B;B4Y$>rln>42Ke z;^S2uY2jKsao7jfq#yq`5n`PR;?SMgXgHjFB0h+@g>S+XbIY16{(!F+Q|NDWLYeCd z78rg)yL;c`A^J0R>>!{JLqY0^g_5)*so;aIK(*QN<`D*B^f;U{?jk=>FMVy`)zB6> zj`fY6sWo2ldc0kJpR=se_nKc+6*D>y*7I8S%3m~ht;e&0tC!yS?i8+T9ztAm@{Br$Oyb4vDXW z;kTC8w$dN^ZcAS6d=G@rN4>Xg8@FQ*R6pXu>KPmhVn(_6U5_nOF&lZ>yoJ#p?zo-^ zW+kjWrdQ?t@U;ovOXhFa9*!0zPF!@R5N+MGr}6DQnuKxvTHY7Lh?;BWH&YfD6V=Rp zIy}GcPs;~68u0SdjgNrFem%Vu(G-f&>kq9gZ`CPE@w3qi!DUP^^4WCD)OlG6J(1i_ z9q!P-$1EY$wY3QendIY-4c33E;7jqmKC-Q|kV$WL|DpUslnhx-Rx70QR zyOM#kQSgC`;ai$lYtqfCBS@F?I^^Un8mmXOqymp3I0}vVN6bO<76T8G376$5O4j>q z%30eMRKIqV0z!*F4{8!|3W6W|%Q4cD9i*ZL75{x+K2cE9Zv@uO#m7{({;GTemdvFs zao3T#Y)T@bwWOtO*{my@-P1$Gbiw(*%YT>5eHP6|ip0UiZ04igG_5b{-=6)qxwz(d z%FvScS6R1gcGMJ-3TgQM^_-#D<3T86n4!o+OOCWdTV~dWSQgyz25%98kXxqLEw5#Z01nk{Ubu+D9tWGzA=&hv}97fzCDiqt?mI zhoP=&d<}=Ry8&02H4?N#~Z z^*Inpim=o9gyRp~WmiPkX`?ma&cC#C|4QiUkgO}16h68jx3bf+=1($Wp;AOFW9fqEK}@FXBytqCCxW zCjAMdKD<9?*n})*^b0Dlg3h#1$c*a7mS>U%yr$yE=Z za;c8^b@y~VvC&-6H3)qY-~X>3t-k-^q#vKHOU$QfyxR$Q1&$N2L&|xfWzVVIaM{PP zxugZ_rjjdvSk%C|Ld2B)loN~er#;Ss7*fZ2xt2-OOHP3(k+(GzfHYxPCxzpB1N3eO z1^S5b8rf$JNf#E9I4ep@B0nO>S4NMNo~$ChsG`(bx3Nx~yHVh$I2VDIcw{}xbl-fP zNP;d2{?{5&ZiW@lc-z_X9LZKh)r1ZR*%E8ke3!_qA4?|>w;#m(3p?=Dzmq;25YL={ z$H$IT=g9sv4L!qq33H%l_W8(F`-i`O4&MkV8FJDI<+2j9LLU$l?D{3ht5Tpyyc$=a zA_!>UC8^ESZ)&D^RH1^D8ZNW-#i+>C4?Z&gZ3rUs*|Q5Rd@h54PtY3345?Q7aG5bg zIQ&rj$=OeSgVMly4wYT*#L3tb48%dE8T)6?Qc*JEy;BCNlJkcrgP zvC$%;e;40X`{Y8{eug>s>m@>3ieXRs-9>&i^-ce_zh@h6F@(bPtk$D*H=tRLdUFL( zAxqf4b*c23{tom`MTkA-CNjyRy$`z>qjIU^Vv6~r%I4+0Nno4zZBX;$O^uwwxDtguKY~^ke)E;41Xq5cz|&)X zz!(+^H0b#&kKvfs#;xB3A$f2JFS*BRxg%05@IcV6qNn!C?J7qvcDVm__0~zHSEsPi z{>FMEmeK>ou;cOg@V1IW($w$gJ8H&Tg&xFsxE#o6;>DTobw1c*-tUF`$6~G13HPgV>b$a=;`C%~qHOtoVQwCy83$mwMEgU~@9O-!B!y(}%QT@>+xY zQ-;=rpIzy&wCZ9R7jI$2(Hs(H(CPBxCM(~HozD=9oqaPEq(B_V#m)pl(uX_-sWTq- z48@J43R1VMq!bv)5aHa9a8!}=zK3o4MXH8PiV8eGS(Dvee^6hZD9aczq<2M0GRr54 zI&uwLtFcaHH^P|Qs4vRbSD~^?z|6BDi;##w$kF9OmuXGb6l!;Z%%^!xuN)n-9Q44- zhvN9k>B{dfHP;IX~MX7s&il?L`8-7!9X7e+IzUp>QS1P1r0wu7l zGSw#UI4y%LD06Ye=xPxYn<9K!P1T=SNvhcxgftf}Bq5}zK}I^2)O6$ZKZEe91yL89 zDZ=+8kXN-7@8P-~I90w)EjzmDHiNfYc$6Rh)R(kjk5gnCAbgCX2a?ES8U*If_8gZIl*Y zt@+%Q2XA4@)G_vc`#x;YM;Ef=X)KCn1)bI;TJo>ucAjwH7{RX)S)^Q9Sk@(7fI@Ft z=XyCJ*y`9(lFX*8+-$v zO+$pOlJn;+l7)UYi4}x4i9_uW79sC!waRQ4gw6~;_euQecrH$lXyAIy4{G?xkIB_K zjTnM-?U#!y^TI+&zJYi7x<9Cc@y-G8XhV8)Ya1>P?R+Q8-7OM1qO({oavrQChA>;l zV%(hip$Q2!&X9G?@YS`8$>iHHGoJ$L2?DDUJ`C z$R@}FEgu_@Mue!)ydDaWekA5Q&)+6kpFODVeC(?TYS;B#Hm1S_dOtDBXPG3cC05N? zCa7x3HXMZ~R(WoJtIXjX-3Pg+x;}ue-#lJX#DiL3K7Ge6sE%n-UTIKl6qrC@6Q8qI zoE4UBQZg$9tcYFmHv-g5Em(QyM4m?#^yd{QuJu>PJSb~3hj}{Zu9ln2YFU0_Hha6$ zSpqtJ@y_$ST}6+sdY?C3ZaTJI zQ?>hBSMzA9sOhYnUz=S%jeT3-Hm!frtZl&}!QWS9Q88hnqXTCaArr!m zTw^i=*D9wj-Kv!);_aL@UMx{q7LCntZcZ^#xZxdt0wvvIu2Vr-NET^NRQ6%hD#W7O zo?ovAI2pm3oy0$D7Mb*C`1!n6*W}<1`<_@Xt7}7lhIK!|o(84OUEFs=yU|r}e{0WH3Ze_$ z+FOXW_cG@HN)k{RUt3>QG4hD5P&2d%EOrrY$8jQ3-$CBx()a+Nk~i2>%tab;f&K7L zz10RV#0HYaC4!QjnDerDYO_W^?(KRMBE#?QdhAtwp`@6i0c8jPJoEsCD9fktgd6Jm}JYQwjX~kkj;br0?`$&k=ovs zw&Zeom6x?-e0c;$ugjUdtSE}@WI;gv=60|kKry5H?#?JWVP_CoqqBdT4ij0=yL~+& zl0T;Z1BQ>h%$-q2t&Vu?gH>s~;CH5I0zg}w7;?9D>2D{lRmq#CDOXfpmZt1o_^EZD zt#;EkO)1ivJ|!G%gRip(Oo{LoH)*56tol?_Uyr1aWo#&ZLnwpa)jQ{!z>p;Rw_|z4 z$}m^tY5Tjeo9xqcQLJnQ^Z*kM@^)d9)y#B}4|p%4!)B(WW>;gkEk$v*fx6)NC0J!k zy1av-c*UD6d8wbF$n!<1g26(_^X;pWO;3{L1K2v$qwW2w6n3BCjub^N=ip ztS{O0ELCu-j*6%+Ip;^F_#jnqMiZXlD!Nt(wLT(8B$oR(85yA#ShT0ZDG@BR{EUe} zx77Sctefn{{${_KC+W+?(Hp7jFw7{vsjeoolGs)BEKxPen8bM3vRI%4R>=R9SB4 z<5Cj4l^}UW))=#GAiEVKtCa%z7~)FRFS)78@b;F6Dq=h&WQcc(L0A?s7Ku4b$Ov`-v17>pHVcll zP!Kd&OsoP;g3W!5(e{`P{tjyFtiNL(AxJ?k=l(sPgT4B`=6HGkANh62SGvxx`cv%Y zoK0W}>#F;>jYq#{T&(A^+jx*H0=g<;Ym6;yMGaryI&a1IFW&_Q1oTPtHap9*C{N!< zGE)zlJKyK|t>1C92PtPch#x^aFV}`|?U{a<%OQ{E{O<_Y@_`@yTIn67OqLLusz# zx=Mm3MSoSI;le26u}PW>^Eel_SvJD8%X2%_6SzFuzIwO%c5Cx*R_C5COt~F{e%@ZM z_j$K6Zqkjr!TY2yX8Es#_*J6)bb(ycV zS2v5N6>i-Y1h3T|H>vx-^)tkQWvt0w6(1+8d@W)gC2!Pe{bWIS^-zv6Rf7*%m(;U~ z-n%Q2J!YEL#G2ymP<(vM^ZFUWeuFK{^Tsb2t79sgm+DoSX*-9t#`&!e+O>(dn0|+^ z`${03$eyNkZyBwy9wXR7zM-E1U6SP|C?UL>4}XgzIgFKK zr#u#fyDHe9ngZX=XiLRBYh4}8@RYEw(jKzYuLc6|el#qLa1%K!bA5|=L1-$=Lk(vKtD&fV|;jO8u*;~%Hm&+?uKfbUnfG=JG6OJEQVX{dp z?X$x23k`PRQEG<7L_C*#vhX3DzNX(q!*`YAXY&3uUwoEm@EKtZxU@BfpfS^*F|8Ki zmLmJj@L6g!5u*(~R&82Sur2_aW=Zqc0vr?#ip|^jQ*2Md7Zu(#3V1m}!RE{>&3&@7 zh(csrvRJvRKi{}1mbpg%d~?!%(LeFg&Di0LIaPCnU5I@rz;7X)QAS!NqhY2wmp<|P zs{TPT{sU53*cEbE_ zqDt9;K7DICO|?du(myoK=j89(E#qwbpr<~4L-f1t+B`a=z?cIDTdH@A6Sib{Khvn& zp}l~fxG5OY>^C@HvU$9>vDZJPm-^U18?%)6?afmY2L3_nT)f&TStKE2e{tnZ+mVf% z>t!D^8~ez@T?!ED*+XYFU*iMrb8mEY!a}T|29G%;oh&gdKMkwxU_JyLU*MV=lk3uT zqNZ-{)IJM)kW|ofDUk8I(9f_vOuvl`du$b1#GUT3mXQ4*+_vMA!|JYZV$BH96yp+K zu8lQjY+Ji#erEpfX8>Ft4Be|N(>@+Px?k$v^R`_MRzFtyGb)tSi8LB7&>PzsC9{cI z=!*l!nF%}s$yDqby5Fqb@0rb?(_1=TC3j3aO!h;@6F_A+gu{267eBOjc^-4g4Jvtz zH@ls8^oW^v^b|&Y6C|^!?JsYE|3@`WeOnsle{%Mck4JhJ{I42sd8Rz!Q;|N4T2Cyx zQ7~eLPDjT~D9Tqfl2BxJ<(X?8%hZI$ka8fpYy{A!y^1XM1Iuf2&6LD6T{k9%t$Zu6 zO|eH+Oub2nU@ezOjJW6vc$ZMJPC(DRxgh85lti|h>CaSg;^qL%ua#tIy9Qb6;@5e| zRxa%3>*yg*(ad#54)O770QCGL45|)x*s%NpcWsUO}Rf#;&$i=>hBqxs0 zyy;xonQJ-HlY!KoC&}cDw`*UTyv4JS2lUtYk|~Vb_-j3Gxzf%@j`uu*v(^3_)^ZA# zXk8uYRv$dZbUNl-!*hX9j)2X(8KBZ~RSpoIRP@U!g2d#??pn~K`oXwZ{Pk!H>t^DW zD0L*dlk{P&0ir&zcDE{UQsgsknw8jcNtP(}39Us_3QN94uIr8GlONlwTM;kGTkM+O z5+vL^Xk27WC5-m-yg;dG>3a!PH6qK5w9ftgE?^9~u!ubE#(!j9m3Q z%GkJTL_lnu6Fh)H(S&pkhd=6FAyU)XanbB!{;r@L-LA8+)_rNnRsW3E2JMyLHWA%R z;)ciXEPR_0K-$n<3p#dtB8Ei!k0e})4C8(A5!j&Qv(9mK$scvJcg#@!uc>3O);r!J zj~N$VtFO1K#I0H%%;`rr>UG{J0`{(NWdu5+Wtb+Hul1AK!;y2|$LI{q^~~VfBHPWl z?i@?ePzI^OHqYZ9UkTEwb@>_YpLv;0)=}K#_+R;w@f=Ef-iJyPzHW$Jf%_{4&hJOi zAzP#&*`*;Dq#>V*KdmeIyOs+ys`TR8On!e;=O3)1Vec`j`ubserl(8<87g9~XMt6h z+~SiY3c{|~#rf=b6t~bbAz&hk*j0cgZBRz^U zgSL`fkp(_cDpfXtPNk>S=}jQM*LF_#;3xBgK52&M5w zU~%pmezWF7H`&Lghc9F>Fn4JF7mjb!N=WP<-yZS!^$xU|*Wx4{mBT?>d!BMO$j$pb z%Hrqc$6Jj@Pj`%26c@4Aj}8QIUr5rbNi^m`*N$F=IK>&wiV}lXnF%WkM)Vl0mB?k7 z%Oaw}f?TQq2qvM!b|Woib^6)qRU07&hTIq3<|U9j2DE0IC-P6@N9J+1S<@W2Oni}h zXBvUbX)}K%MfdM%+)~ycdGf zUuF=LJwxde1uu9M`MWC8H(VDFb&SZt)3mpua`3K=Y4XF885Z;$8p4E4@M$?&#?=5% z`XL|K$>=eItv^zAuq^u~1Hb3qb~lq?gZz}qQIHNk_w`Vr!MvBq5M>K$1+p5JjE`jN z_e*^EIlX`Fj_Ze4LwMuA)7|HSQB!R%8>}s9t7;MXM@9Q{=?V$wJm#-pw!^NA&kQ|7 z7l=nhy+PN|s+%>bmk+ud4UV>&huQ&&k+PilLlB!J7iL3;X+%5nN4-+I99JEx-VfN{ z^oJI#oUEe&>_d3C#xc=M!$WDt#^d!&`%5zWX*k~M_%MrzqJdjnhkNpxMRAgCW`yK+ z9zKfmGCP0dRjmWc()v!Sqq~}6TPr9OgsCX$2n06cQl{&ia#JJsS&fMab9V+C_Ea*}&2jk%^AB5CqHPUzA&^Z0RvWg>Jyn0wYS(c}HAghPCK( zO%PB^U8U0fftpW;ml}zj42#r%S$bmRq}a^lPKl*&m@$x7tUWn3y6qcVN~#m%is_e5 zIo`BD#bB>J0KDpUw6gqwEbkY)L}E-MPf2nb8>tNQ984pZ#aW(|SqR(V<%dYB@Fr^f zA?xKmV>DXak+K;&W3BaFn{B)df}D~g_+ozEitF-)Qk>k3!hrOSHQ(t5W%#(P)C!S^ zSe;kgYE{wTO3ABO;EqiFcTM6gB~qHocod!>2lArQHE&^CJ!d9yKCvva;sH%1secoV zcoq%mBu2QhrwW@QM)#7y4Fm%s+saOl&JgJKDy~r{EgI<6pF{_0GC4JqV?i@YW1B}W z-@k$y-`hPIYmYaAW#NFDUh7C{+^a~Vb5XS7BoCRUcNtWU7fP~M*KAWts)9lc#`96s z&A}+<7lMe;(Zo@xFhY$+S3jhOk9tk9_7fmv4w6WaAr1=Tg-amAx81!xKK5x_GgrL6 z_oiZNU>>oCVquQ-6a%N8?T3G4^jclP%utQbSCz86f)mqo*{;A)=ZU_;IE|PtXzSD= zgT8$5bC-ZZM?9>XtLA-SYAWVI{! zj`7=CS6MC8gryc9+%%Fra!qRd+07a=P8J)>6F7dnYi(qMs-q6PjW+hSjcwF`$+qO! zxZO3p4WSg%_VdP+G};;*K6!g+E~~evHDz_4Y-VK5hTnM(CMoe4MwG!y2!!3#?R|KU z)MpJk1+{xO&xdypZG6HDr08@bu*LR7M{?Vl%{L(8biXIaoXg9!-1tmon2g%7kV=Pz zM!rZZa1N~$9_{$Hl+G)fF6INh=vckzT)pU?zXdT*FM3liI!^DlRr&O=iEvk>wk>6E z*)-B>^cn?aF+u(6G|8KrY*TKuRrS>-nMZ5Bhdt)Ht{0X&aWJ`4o%g4zjg{)EHd&?` zP!6bfSfboO7d4@A>oBDnOWW1JM7h=N=kqvy^8~_?d5fnLl_n)&3qWWw*r=#rC1M z3*WYT`I3@j8>g=PE_s5*4@k>f+YPH`>z?j|+BVU59hu7+`^7q<=6!8G@sVDA^>djG z!5lmO$p*sOPI>Va)nd`vA7S}?5%1kjsywL>V};;9``IwMSCL*~lq}s@0)*SgFc&x-%uyZSVS54R3bdvUQV0}N_9YX# z1kk^eD(!=plDPK(zDE7O#V#a4Wyq_LQgVrG4# zrdGCqNv26p>rEE(;I+8Uo>l)+x+GN;&B#YFfzD^gMCHA}w_~aW^Q)0giZhFq-9FL~ z6i+g~OGF_NEnO^=7-CjAreI8*bpk8b6V?WxLBBDATZuxdNn(*O6-4?Ncu-E}jH~ez zl91WXzkxE%Q7k~dE}1!?RP)>n zP^%GP0ch4Wz7UDo6}1TTwarabqP(%V`o>&XfT>i0X6U1=bG(*0*?ee{Ua>)+yw|L! zHQc102I^C4H<-9m(#e1#GKieRL%oSK?T$rbXC{=>&-n8TD{Zvol+eKvp?qq6O*M}k--canA%m8p(%5N zkIL^&6+Jprr3R6MU5zipocHdoSdS+3LQeW)*WH5_1~x*B)t7eOCTyHdfE4I<+(-vF zUW2F4R`Cb0vDy+1FB6Sb%~7I-?plgv{h^LF>BwwHY@n#r-wu4C4jjXRkoWq|tZb9g z7G)FW-17}HHidsImkYHP%2TS94w*ez=W`mPZbkk~4G~eJeU5crG0wgFe$bhe`y~_c znUEi&T5E(*kP5g~*)9?P00%k>>Po_H+nX)STAUu%vl=#v%;x}23v8U`CJ(}g+HSQA z#^;AaBVY{OK89l+0aN7&#Zq%JoTEVcA^@*mrs8rLcIoF5z3*q$VFl9pHkNDZ1@S)!VD|1~^zLg6)9S`xlRVFB{>BsTW z(CqGJdy2?`$Oq=o<>4wb#<8ijIg;aLxB1?zOpV#D2ItDrQEsK>>l;0!zg)E2QcO`2 zCUOAG23S)|nA2f$f-4(^Z+S70--Mr%~c(3 z6Ana?U}U-4i&QqHsVfk+ejs^D z@bycD)l~(hNNjMEXN<VyJB~DR85M| zQyZq#{!gRC?#vOs&8LBxijxPNkAIppX<=6ueuX7`Fu)CH$N3P9kaq{R+JVoSx$MepyW z?F!bEZDMaStsi&1Z}t6k4J}Y9Z`)f-1>{}t1yfwduUIY$NZE@tLy>&g<*piU=2O?< zR-+7AX>1f?EBoDrEYKi zj*=|uWhrWlPMTuh#O3oW*QuA&Z1jCPH0&T6X}58m`&J^Dmo__2d0>Z^n>sBMnrG(E zs|6qf5-bPaHg0JYRPOF;Hu;?v8O|^E@TuHpsa+b@wi=eKJuIOo0;+#9m~ZtF-KOLU zdYlwQiv;>Be$rorya&6`Q>=ZZ(|fw^G0v5z(_Zgl{`H!A3c|3Vy~EonN`zWG_vzm0 z`SXUi=0^K-5;Ue7KNvkycNyxs(_4bSgetuHqqxHIXUO+Lx|89qbeI_hWc0Ysl zvuyNNSN${fH>Z}HKek&vb9}k1pR@6zxaAGy;$T&}bWx`LQnNeXy`NgWp;p$kH*g=j zK7L1OJiePp6quj)voDmN%CSVBAe(?%f3HUDbxb5C9{MpTFPTutyoxorulFhRbv^g_sr+OYD&%V z*+Uogck%m^w`*>P$(%HTiDcp#aMY@Fyx$E-sAB8@Dv01(qy#6^{MV-pRhqkHA+Ir6 zw%~F4hKAqJEf;3|ba!uaKA0a{N4q+c+bjo?X_tRZl%eqMbpn>gg;({N^B?6mK*HSE z@pLSn?-$*AP2rr_j&e}0ce(A0rH->b_iuf-o9oYLsX8599 z=;dy}s}%ft&QUf8y}Y+#h}s>}urb_UUOSZ71b1&vGs=D*CeF$&sm=1aLJ`A5mdxF0 zs_1v%TG--@KDN(#b7^ZHZpL@O-@RjtP^U<{;+E681?W>AM<^^{{!nssduYLyyH$vKH(q?qv(X6FX!c@I$ z%1^HtnJzOO*q)H{q_DHbMNv~otGxYY%+vY%cL0ldh1AWfZ%3!zWG6^{n}KjjKWR{M z$=x?*=ddOplpPx?9fs^H9p)sBy8VGukV1+rIW=N0$fEmX*OY-_@yd~uq4mmB3yRF`5^;Q2y@AnH_@Bi}R!T!|?}l9z580doDTGnF|o# z$I0C1&krlVx}E^?$o3m_pW5t0A?eo)haR1BG54o@wA>@N5JMiLr7_Q#)(qPQ?E|+k ziWSjbczS$7Hl1O1#VT8Z*2KBXf(}uf3u@W4tDw^uv%_G~BGVWL!>XN?fq^YKu_1CZPy+&pL%Q|#s%P_Cv%ZfY6oLj3T0nzZ z6N3oHpyd=e?Nr!|e#i1(!y822cOpdIe4<3&T(U%+ndB(ObjZev$i^M?@kT^6ea%NHLuo=f=#CxAMzVj}WP_kmk38c&iT?%Bj zn|&8uL#PhR%*SwGX(HK}HA@{T{gAfN85KT6sula^RZ65c6d45apanT+Tu0Ju_~g_s zg+=-ERQ*?(Ms1DqVPLlH9%*|d+1Zbb%KU4TwLKPW@548X=>Xdvg?vyKt!#8oTG&z6 zg`A-k(M3YguwiVaV%U} zF3EI#6s!It7(CD#@EzheWgu zl84qUvd;|Co8+Xk#3KyKM-IcW3wu``Gl01M?MFIlH{|^V9N3r>lXWO=A)7Sl`+Ftl=cXwy_ zLxHRJzY8-(KsfLnmFq8Mi?84!;qvv2C+o4UV9UlVFx`>vG)i+kBH0yz)=Xsmqhf24 zLu*>s*Rrl++8#Rdm9^h71%uNp16O4d#a^83rWw{uwkr#PcXw-gj0GlX@rEb(ls^O+ z?~qt&NR}kZ%}4VKmJp{|V+@Mqn1-)@lUU&;0T;0LR+^a~?E`+{{K)sJ{xwY>I%uuU zXf4PvN1g4wF*FbS>Mp5QPMt=ZEYMCNInFhoqP_%&MEuqWeYu#?;o zcJC0EiE-0SNIUZ_41)#V} zaVO%{3}iar$t5+_4k_3qR}bm8T2A~eDo*K?UHkwGnfc9rF;u{(P*p)ftSl`g{CZwO(CM-w1M>@w*~ zMQE8@zUI5~Xn7kSOOUeHiq|mu>6bRVTta(JKDR&i-g>{bp5TT-(0DOZu3T#^eV5`J zc($>kEw7!vSHDnX0BS->#$+P@1NG^;Rs{iurP7?LOmypc_OrOsE9Vb22%KW}m_YxH zHilYx3h;oWWA*ce^?EH1CmRVK;hG*aD#ABT%=5tlkoMa-+`9Xa9miGYi(JbSH@&6)2GMOx^1Q7ta zWRmg!L@B+xt|u{o;j=U+ysu6*$#Yd!Wg4Rj^$Gb#WWs||P>WI{<=~(BHd%^CLp)ZG zT7Vbtj3Io+$15!7pD(`UTEsY4n8l~eLXBP%N417fV!xB_2!*IPnMXW^%_;&2452ii zwolC#?9XEPp5_FF=royUIN6NiH_Zq_z}e6GuYTHwduT+(m}Hba4cLFvl9ZD7sbbqD zU34G8WIfZQTUs{ITGwoc*(&vNb)g+023rG;K5$T3^Qjo4P<%@f*kv^?<^TLD z*}{z9Qk}B?O{?QUJ1xm%G+XJ&Y<&SH?Qn)U;z29M z>=2FB6BXdWll*TwYcLiZ2Vf3l{l630c)Qv*B##Ujp@>EP69F@Mnv))wij$3Djya-9 z#_>E}+?e1~6%YQ*|A8tY7D<-ZAHlB`0bqhp;J?U-Rj1Gi^ko1$H1_V^kVvt$y<3+U zoIKs1K=7#A6V9m|=1hB1z|6)p$6}1Ai9H<)$XQo_x|ShPJKmj`fBy!hz565_UQXku z&~+p$B<{*QmE69aY%2B5jjUwvR`D&kS(z>H>9x=cXc;S#B@-+aFJ|uykKl@m_|(5#WJ`)q`OP&LpFxvh^L}0Ym;%qs?sy6^9*-@qUKoZ zfv?QLs-mf>6#^uFQH=2-8*``?c`UeqJbsYC6qS`p9^$UavU8%11`H>&s9TlnjHNs2 zw&U_ZO}Z>X{InvH$zaq@y%dtOLs3O-qN+VsToO>iTrRBRQ=VKYU1~*BMghlnLk{e>xx(4u5iXNndm z4#DL9`AeWUK9hUV(BtQH#9MUaP}WR$bXQpm`b)|asC35=2t{`kroE-lgzNSvQGj7^ zJnj7961G!J)P3&8=fNaW;{rTvw7rouO7S5P05kbmJdX^jowyoOB=+En-O>YuZ)O7oKo&4@1P~FQLEgi+7OQ!m(HlUyDbWr3NJ<^R%h}s ziXz5jyWgmzdod@`3BJl~{T@Ik2$V5Iqkw~t+wl71oJO@qSCS)JGtRcN!1XTt)1JwSYQ z9I#?Gc?-K3A>Y;kzWP{^ZDb86S&^M>hfpp`tqme7)+F0A`g1KjAvNjlUhJgxeKLfD z52B()DXEJOay1}@)j8#}PV(;`!s9G;8Zg9_tiCCWAi6x*H{T*2M<;lYQKfdy5KNkN zFQ_YL-aAO-4xuv28baH1PN(cN%8SDaRn962kwS8UWq;`f5P&bLfsCQmfzZS)<&1nj zaPVnVRhT7p%;|mPz3A+CC3Qn-eK^Kr>E>;~NuK_!%4WtQ|C+)d_)rX{1@2%>FR;gl zs^u>D4o0Lx1N;(l^%EqB(DmU4`fOc&Pem0V2y#XnPp)N}(WnPLs%hCtaJtPwtP zT|6jlO__F1gqDUhdj~9Q(*Xk-QYdX~rlqm4U)@n&5p)4m)?Z`{BMsoRKPxYhr1fF` zLT`a7sS{-k*7Zu8w8X1nw%PPRZ|P~$JWy;ix3HQitV2)lYcPkiilRCxTy45McUC*6M;Csh5&I(1t!Gy(QI+ER{N=1jrbBj{&em6vFOFpVCsY?8^<&iZWW zc&dgBuMLoJF_f3k+liCm@L|z{vxU8*L;C+vsBSF9>)n8td!NH*5`thlqOO5~!n%}M z8f6~1*d_Lmn6QE$Xm06LYo;aksp);XW^n&Dgqu#3-7}C~qx%DU=XIcwCO?Ii9`|lp z@Y%`_Py*@Vu&kR0 z3<%M#x|TS=Bd4kNk#2xA25XACa~_^4M-`z)Riz(?2Ul%_AyrQrq_|`-BYnYBF@wO< zmfi;e{uW>g%%qz7(51ipWd}PiRf`1brxuq)6ox#48V@0#D|XexSpA(d&PHLK>+G^u zY~PA3gV7CT6*#Gm1lTZzvr46;Mex-`$K+lQ`sYnd8kYkG41x%Er~?MZ#6xy$?3hM8 z#tyoIo)*-3oER~Cvgib|0|xo1fx$YkEQd-6^U-@$=#$Y{ulz*GghG!fAk2_e^v04r<77}UL!QV+p zVLz*x#A#A19$;QQqEn5$1j`tj{Xtp7K?WdXXvl?3io{Rb^>wwdZn?PC-V43Ox8x$t z5H6Orq)yuqPMbz?sW1GOZ7|k}TG+n{4Ux&cfOx2xg~N77gTN|BoC_1M7;q%5ZFF;I>x z>dcHkQVFg*HbA^gO-Z0x2x3L3$-nF{md294wit(6Fi#CGQ0ooB@{y%Y><@uI?q}OC zZNd=?$VLi_qj@!nf*K&ba4wBGxB@M@kMq#ew7Zr%N2LgTwBp{M)CVJEt66MtV{zU}b56xj7+EIl&&gA6HG=JXg^`S}QL zif^$s5kdS;HrCLW$Hzuk2*ZT^;nU3mrI-}~;Qy1(w{Q>g&UZG0qXV|OgiSN!UZv$^KGmdxGNU_xURd3A&%*g!$vX2voKVyIi^!Mq$n>^Ud8 zz!lig1yTj>t?1(*4uEA~TaCqOh)nu#7T^kOdf&GK;gTPgvXClM`5)&C`SUz)pjD1D z6sxVEnrpD@N*z3*?&AXU2)o{er1jbHxAeAk+ z2sGC~RJh`_nkN z){ioAtN(|yuMDdr$QH!)lHl&{esOnqcXx*nECiQe7ncCRo!}BAxVsZH1cv~@J=rER zvpet2+x@ZMcf6{rx^H(^-#&Ft^?V`tXom3GF3zt}M%bW`v|2sEZ@!T9x=dF1=zkC; zg^#>vxe3;woX~1X%}BZpg32j+GVHFyX4vyFti@*z;XZ4n!kt2wv@0#+ni+t?>^aa& z`nwB>;(TZj22)z`C9li(IZIZCGL8@}E4pHl4dtA5E?(zhzJc-#BNg*7kzti1GdK}r zjYa-KU5S9tNOu&I;p5VOMJ_MgkVk4MZ%{BwuM>)VE~`|=U?Gu%f#r~pfeVY7j^XIQ z-90Z=p;YFAh?b5ZXEmSyVKIfJI99H{!L}FEJx}we)9#SfLPru3)A!tt7Hh;+^++@WC+K+Y}H^FTB;z7u~cj- zq-+&i!~i|IUR=Tz;zu}NhcCbs5vo;d%QWc_%o|v%Ii`wNYa{%dvM}uj z=TP1JS;tVe5_zfK95J7$H_wGLw9OObz`fkb2&R6o}M~ShLm{E%be(JIrCQldA?Z))oNU5O}!}FQas~1&ZlPa^`vjLaFpsJ zPI@qjch)@2!E#WXL}_BwTA65yKxg9-(rPxYm{GZ`ToNzs>6gG!GK`FC!&wc>`XWY|{X4S!Q2+cHZ zXheH6$#a^fuljDsT4D5HYjJ@)=b|d9uESZ*)U-bMi_(tpN`O@=cNUP4tYQ>GS_G5F!JHv&q z)ef6FO|F$IE!zJyd;9$?+t;+B{k(9=Fo?BubdSyQ!SOmE%do#G>GcHt4}+$JJ&c#8 z8X|$F3i&0C9R0OU3gsnU4r!kJv-YE%1p3lPS7R@#p{16&dqk4`d!Mf=bN$ys)B0JL z?n0lwzBwyTdN_{vf>jx?eqE4sa&T_v`?jebpGr{baqDi zu3gmi_R+FHP-(NZ=Lg4mN+SJT;GH8MnM&XN)ZZXevt3*t;y~lG$mBm!dfo4(m}`62 zl}9nw<4$$3h5mr!5kGa3n3Oo2ZVL3v?0&HAr^%y#dv_PJ%jO5W+}*r7;ty9^nb?(I1iugS*LZNmA`*2=qLHAC-|_yU?}wMR0m zLTMWKKOU_)fhH>3w`0yJX$IH=0kLn5*;QmKzF(e`{&7l+Q5wvMQETe^b&el7SF;*m z)Iq)RDZR^NQ!yBYQBTGmOk-@h3HwdwTgm8Nqru2*G+XSxXDa5@2y*nk=SR$`F@AvO zV@@GcN7w9WjfAOww=xuet-p-r$M<@ZPSk_+^KHw%@BAPM@lV+;!vTCWFAx9yUc}PS z1S7i zz{4`#`TZ{ed5X4bY9r(f%oE)JwaZiqCNR^gMCllo|LqO?M05J~j;`qB6o=25!k5cc zw?L6lShfIleV5jbjmS@tBbctmYyumbn{;n)vz=0+em+s12gdgSiA0I*YgF1ooa&c8 z?Lv}?Lb#TR=?VLf6jv2s_6&E->f-1L!;IS#B5I{dJ>BI^ue`l`4k<<2ta+>zB1m8;EI|-kbTRtTx7qn2eE{mfr2w)Z*buf+b86 z(pMX80%vm&T=3%`x;|`Q)iDd-d3B1YsAlDlrC#8Z*8$HGy(U?usue0)os**9b8hcb zCbEC-`rUyy=tg@(!(*@>2m(R3=Ybu`iD~PEt%e-O@MZ=R*lH_U)Z zIg$u>HU_#Nvo*z0baK8SD&$vGv_rC(cnH0H>8YB`H94L$qEb@@IFgGZ9@$zDDdvJ|}=wl$5 ze$G&+b&U%j%xM-HHaciPbb1k8X! z_26DQTPT=Hx?X;Dpi(S*Tk+0+;cKVErb&})%}R^z$!g`ziT2|SV6L7>UEEV}^ek@r zGP`25HC&RB02fR3(Lw~1J>KcVeOXw{y<9h6J;NRq^!8o#OQFC1xGcT+DGz}JRxMu;nw-kY_xQ;%U_ zoZ74^1%au!M}fhH7TU5H+q^ocPGfkB3jekq$q^ptC9LVC7uxV&$HudjaP|AqVmHbd z!y8O6-@25$FMUXUPj|n!`(6-yM(8uwU5PMBIDDLeL=65_5Zu=>uG$s4iBDZBfSII8 zg8Xg&)5lWNooWxN zpGDHIl~DR0S7yT9i%_djPN=m{H;qH7 z_5SI7sf%eH?^-H=(CabROh2QV$W>pyKYXvJ`mUb?3)b1v$FS~0nfwJeSGKn}N{3({ z_(0oUWQkJ=h`SN?hV+w&`NKn@vpaJ+((kq}?M-(R)z5ztOo&TG?~xZsd7`Tq=<_BV zZ$Gk$45jd*lP+ zFi!U$Md^c$-vD#UsCJaE08J#0MXt)BO?XDBCX6A4j718jZd4+$G8T@-ijpFHcm~hh z4@ruWiN!_;PA2H_~v7Ncf_2JXcL8{a+v<_RQ`+DybY8ENRi( zp5F^2I1VnpJTWz7`in-M8U!3`Z8?x{o#79SrL|wL?V%5Yc zKkc9Ub|$Pv9`8OUrKi@fZNGDHD<|m58G01Yt(*7qy;5l<5QHgyk)xjgU` zYW(5#JYK4n);VGSW!U}8@L0!H5oNOchl=M2T6ufq>l=OapPXc_2YhKSzJNITp zFs0>k^_>Bk${4rFTQ5__g}`#H%VLd6jgv3f&)`>)_Sb&?ObfY+*(Eeb?%72(ugee~ z?!*zR2KToEL^8RmnuirWRia7n&DFa@ReCl-;|w`yihnCV_!_}=8x8S*OQ(rvo9w*W z5BRRs3{JcIoovus{k`FB{Z!rbea*)j*CHKr=XZ7zoH{Pf53hn)5$+=NHR2BH`6JT_h*AOAr zfMWTn&O*%!v}OpZkA+^q4&|{F1BYV`*98L<07VEh9_mWY=F z=y+nW1T1pAFP1yBG`tT^2wv=qEDUlQh(9_n7qzed}aH=XcvcV7!?Z+6-v{ z3GguFK{rm_?<^&_zbTTl$a)GCp0s{4P}{oJ6-gHrviR}@%p~rvQ*aDiktlhIPOFei!uF59E6a+~(}#Xx1~Q ztvY@f(EQehb=N4v6r^WsKPRDI8ZRIcDoYBn#tNPypqrPzdFD z0bo`Ul&3^76fe>mrCX%fJXO{^e2 z4{6q48vZKd4X&?FyqAI_m&TP&)Lho3cnkJ0q~ZnF)8@ZXmN?D|TLdFlJ7O9vQP2Os zVS#%7-=MKZyZr@p46&ZR(%!vO{`Hvk{TAGF<`A;E0M}J1Z>O6){h2o3SXrVyE6f{= zeByw)vOwKfEpKO-Jl#y2@2o7bnibXzMs{|@Tv_~AK?!ZXva-a-tS}x6-+Z|`Y_)7X z6nYivkSIYB*Gumoa5=dxKR&W!*ny|*%!;8D!sW=%Qf2;yz}ue*Y+G>(T2hb;!)_{^ z*a#i+L7E1kA`D(D4K#U%3QXRBd3MG0#VidGbB4TWa-UxqRM#bvHFhR`Q5FZ) z4T)r(or#;k6pdijxkYLz*R{)$tgw~8kd+noKf;IYk)ZKXOqMS-ZueJ3yBDQeEV`F{ zloN$~iF@isx~Jxy8z)*`>eoVb+42xmMVA9rMtE;VFT~TkKZQWEc>Y$yvP{CNKt*uj8QKbVET=g5&`wQ2h z)brG3K};YEf{vQ?$cOOyACr{j*oIe9oFx3j7fYQ0oIifq3D~ev@x=RY zhYvrij&JQwaybTG_LQ0Y6s*8|j6a$HzAxtqEJ97pQNb%4`~RyR@yen@F10a+396BH zvUTA+beLiIU2%i6^<%^)?WD=~J1Fz08BFbkDs%}Gj#38;{ff`aO#VZiWs2Kva`+Tb zd|PI6C|sfI2h`mF>i&PLR9&-zT_)rmBk|t7{-7F;j-Fq*eUntT=($fgVV5@TL585W z_=BBJ?rer@iubH(ply@Uw{_#S0eFfL&TMlZakm4++yagZ)vEl2iCGHgkGEu={rF&t zuFIGD;tsFfFn{c&yp8J%P(IrbFu63O{_#d|DjvfJw4a`^uqt|aN$N}K_D*Aioyqdx)&gG38X^=L zL+xJAfTeiR2Ts<-v0szl%VdI9q5Ijrv8-rj+!D-T<&14@i9cVuAun0PdHT&pQwY%e zJo>!fB44DF_Nf`sCOFE;Exk+_J0~vNC>8kR@UG&VA@N8+*iZ`ZA9$~;2@z5%3zG~9SFaJ1o`@j)*3Ti>Ywvl1r8G>fyB zVoW*x%kMzb8?%GXVYH9V2_P$gYyh$wRiY;fP<+;olCwZxVhp1ZPg|n4cdwSvn@w%i zpO4SX8|aCf;=0S>MmT}PSgg)H@VO*UGl z)dUOwqng-dP#7R>3t1$O6W7`Oe6&T3iJg-3{{2^F(&m~ui?PL7=Ny+KFYiVwlHtJI z8r%^Q1v&2@RGkJaUwhhvOcFGa1SYpr^HxIxU!^c*L7jV-25(CK_9hx*(~P@-)h~P! zTcj6-fJa|j{a@5!tdv%rk|p{%sx#Wpk5As8?tJ^tx_+?nXa?a#2kp@-ck?S?xe1>A zkh&Y%^5dUK)OgaM>U?{0k)6?EcrNjzR510p_j+iQu8~8fnVh+tXxJ>Wn>5%F&IP?y z`unTkM}9k2qEC{4FrCS>06LNXK6-DuOUg3{Z{~?X-<+k|mW8D`4aw0lx>g;bK260S z#_1Vllrhgh2YR6%r7TTbtf>m7!a7wHpVhkQ!m6pa{zGk=c34xDj-1XFiPu{z95VUH zR#LX})t^vdG;d&gWV5KsWO480JmIc~VaV*`^GNl-Q%#hguNX|rW?@xS!@n!y&;P4L z{-Bzu?-9m?2{k|hIZ&{dg?H!S!i35oK`%%kmElT=HKD^)grlpmgl!OTcJf;J30YBA zMJk0gN#EEFS2;>X$Z=a?en#xg@~eylh1M3ikcavWvzGz#iUI!oVsBnEEc3B~uw^N?ji22!in!=s~NzuWcqpWx!FAX=;zyS2`O8&Wl0rY|;Q=Xp_yjDVW z&`}+ZnsBp#t<#&0<)dHAA8JVrF?dGBEGui`SSS;lsEt;k{4t$bdOFF~cp>7>EFc?4 zhQQK{>SCydCTz3jG??*4jUa!h(bwK|?6+l5o(U)~Og&+TZWt@dPm0LGz2--bh{42n z6f-nn{^bqSF?2J+GJ;vzJHa4i-%=h_Uplp6!C;9EWb6x-Jjh#^@D42cog6W9abzi6 zDPMe4N@q=(!4SFCl#g{lG<3bG!h#<9H#Qn);E$iwArWc;sXFCQNSSQPY#rc8e_DG7 zc;a{VPl3LvMc;k`{vzIgd4ww<9hq9EL%)^_JQV>v{1=|LfERuCQ#;}tTJ$(7eOnmw z96Mrp)od~D_#!$L@(a)`)=O=_AHbF`=6R~aXrM){Y}GvZ*c*r4s`iSBHYwm`eanw^ zm!>B7O`iaMu-_uE^mQBP2tQ7oq>!V`k{jVo>|kE}48BxbNmwhip%qjLVGB7Y)L}MO z6i7^9AC3|hGRYr2TvY5CpXW9C;@1b%GTB-Fm%f2=pzr;^eFGc#QRjF9M^*$x_Z%hu zTjibXOWK`;%M&U4M{G~B!)q|FUvdBL4ecvr5mA%euH1Z~YG~q;x0#dH2I=-jHrk|> z%$c3CKZPugF^CfM{H0X9nF=nW7O4mmhATTWkyhuSCYV|=Cn`HJCz$$P?kid8U>irK zZl`qk43<`?hH5-BQXKenKmEQFNr)eMOqkmp3jaD|mRAziix_zslR|qi;_8FRiy*g#pVtxMOZvLyOMUBm zDg1XJ%1`TAenelvnEP`xkBf3?vyYw~#DDH^HWZVqfUif`Gn?8Dt!i^&xQvy?6u#SA z&>XyAWU}-Hr`4_P$L=l|4+hn&7y_Q0YQUvqU|80oW}Ck#@k0yrEO5Nf{^S%Nx&KQu zyDxtJ-mPu(b65XaD$JX&1dy-wIh*v@J#(slm&>Gmf*{wIM=T-WBNYU-;JdJQFvbOK zq53=@!gu_>f-!mT2VEoDsTONl+wpTMgZ)<&GHk*>8Ssi09z5%pj(_udQNrLqAgBmywR@8486rG{oN#*v-K8u%qyk^(hw}c)XP-<|BP}&h ziP3Q^1xZ%HLi@K!(r-~x(!J#&3ygDn7^5O%R>}>5xEL!%WW{E*NdZ|sHa1@RKb&PtU7(|Dwot$4v45$j3!la90^$u6xnh?;>YiN`0Y4>tsWqig@3+)ZINa`xyn!OJMr>{;6u~THyVx9p#y*D@7&x1@hG65H{nzh#(su+1254o+&ICchvp- zo;y&eB>!#hDj&tv*vW}s;Qck9(5GZSHvamL!`sg{K-2^NtEZ$Rt3;{k;plhyVTffz&zVs4x04zXL+AMv` zZ>+gNXZk$8iI)37>Lo}txcj_abLx3lgy=y3aSF6cV-#|O@Qr|)?GiI7-4}UetRrm4 z+C<`llZ_&8At(I8E;B#7AHX93(X;Zid9o-NLVjO9Fbqh^Swe&`u_)VR5MMWpE{)YgAv?ls4yhj>uK!eR#z7cb{CFr9j^VG9y$%4 zO{xQHbh>nz&U@qn*dKO-)wQIej<_W2r$8ejT-1-_ke~md9IHB8hYgEhQC7#I+gpdp zF;Phw5KzpHA|>j`L^X0s6!m`z*Z2XlS3cawqWq*96(B-!aUW(E_i>-A2<%doO;PP` zxeKz7BVw|Zb6nHomt2XlXR>WzkGio~k;kG0t3;)>=At9bVD3j3+j+J0sHucaqL=rW zIR-gHHN$6I*siMbIHEC-NkJ~e;mTRji`v8>r!bZksIld&>?Lj88HX^(@{%Q}RSamE ztoSVGMaI7$lH;T$D{$b-b5( zEL=_=Ws=iigrrCzX<$;w$%nltjZ*lUofhMIH49vpCAu;%TN4OlS@~ICDM1cX{~E=0 zrQfVUANmj^sUt~pqL|Bhb0OJWUKg)Tq$7%`@^Xc{Tmef5+^lLH$;nHQezh+pGrrU zPf>ocL&;68otqtjUS{G@Ym6^dX~YIbnqgeZ7ojlN6_}?343Q5)M8lIM@{*GE4Lf1h zw%=HYq`Y}lxKR>w;^tx{tZcVC3emrP<2#OW!N0VS%fgeK?Z8jk8-Q@LMHKmRP*22A zu((^AfP!br*R$;5Bv-04W!Q`UiUubugWq^S1q+{@v(c-)owvj6njDFMPDxf;b=ouK z@Mj%(v5+MVPHZU6LDv!|b|B(6Z4_mSTgsik`DL+#(uHxez8H zxNCVhlaL$7P#xenw)|+#E7|3kbR3nj1f6RU%nmmGZ90aeyXZ8F$IDbw<1I*jorC(# z5JU@GQbQdiFU`@I?z}5Qf6rO6GnSFWW~uNsmH|+#MlzCUEN3CKv4|BBv1?bXbdoYI zLfm7rpMxq0D;Y!PsgN}isO5x{$oa?dHCCwP>=Vd~g+o%jkTg(sOR}IXaYi!;*md$_ zGod}U^zOBulb|&S&)WeW3~YjU^j-AXpDl-h*+UnK_yonPQCzJ%Q5mdUG?sz4C&L4adpdTVs2bA>A$0~MK1zpfH3&H$ zhm*f={#lta!(I{_!NK*p3e^^r%0<=R<~Eq(g3m?8(0WWs8Iz{z#X}GuQPiLO%?`Ly z3F3fjG-I@)BB}8PH1eB^S{pcbB$8itsTI6|<$XBiqf(IN4M)?cBtzxCVOks~ z*3(FhrlB(!N?ego1M+KBD#M7YTK0NtxqpgCRC=`o>8T25VM)VSt z&=D7Ecn7%~(Q;Ku4{r5qKbPskXmnxTgBhu)T%s#GN~tL{KW>bIeFWiZPt@x*bnX%g zVP3d*_Ht8b;)aB?n6KMrA!&C|gE7dk`W(2N+XG?2aGB>8l#(IiJ{*bWN=c4#B6Wpk zrXqAIOicj!++Q5>-gmD3OpXy{}K zlne)U?MOnpPNi1uhrJ+%nc@lPP>OtdTlsQ3>(cC;#fMqi*=g456b;!JBkR(RbVo(` zIcvG-C>O1hAI=o01dfZ_k(Ig#cF7>nAv~+LP3#17++3!0D1l|W1J-L*?QO=B)=Uo% zT4a2On7VLw*9`wS5_ta@ATzI5fwr-SiGoMLn$*fsCc_UMY)_TvL~ta&BFPS<7-lpq zib#&K0nZ_2h)2jg&*1@q#vqQe)KW3E6ogRBtB{ZouAE~arx9}Gy*4!qA&m8IbYq}$ zIQHreif5(|;T*9=|F+iKGB;Y8qEm zuc(oa}{&A??o;FA&pC3*7G(#(=F-{i=aSuYQv zHUaLoRI+WuR8uRZd#$f{=A5~4`girsjk7FgO37Vqn(}R~I2DvPiSlNIIny>rw2O;36Sbaf2uuyJj;E3lb8^qv&q?5H(0%^V%cy z%|Pyegh7MMH|BfdU{#uDR5W}=x{ zWY?HrELHjoY=2m``4j%Q*XkP44`4Oz?tFD`=z}^36-8OK2}|WG|Ak~3`qDi~?)Mxe zxnmiGyxfj*$cX#ovhvGXnmR;EJ!|DT;GuYwnY}X2&ag84@UZ+4qLA?{l#Q|gA@OEp zKbykQIe!t>mc-vVkxPB&Prf<7ejBzwmVAF)-M#buga7H%QnT^*kMM!o`*a*j_veptCNY3BG zS7ytI=WNd3^K=a;;TB+Up=Fe7C7Y`6;p$J+++2P5iKqAzSJ4Si(Fs?v3QzGWhNf)* z^TZnyGrGp zz#DBerJsA3ukLuZ7sc+oL+#{oMbRuDnXws|0w)qwK@&%^Sh4%`P(0-=YZ?(M*t$DS1 zx^A%Q-ld*YI2l@hWHi*ZH090z>Js#BJ>aqJ{Pqpi!7=Upl5Xe4!l#cQ<4aJS8T8G?fr-FtLR-2_qzsdo5 zGE7?$uo{GLc`RUNFI1*|A2(})v&E~V!L?Rk>J7(?;ViyBl!%^W{IlyP`kNzNj|Imr z4W&^LUcgVWjq%rUUGTwT!4(r%6weipj!i)9IOvz zr-G~JRMa904tp+-1D2f^$4RqwN#CU(vSje9tR>!U{h4NRc2f6*;+^2+U}Z?vPWWwU z_)Xl}{IG-QTW~$=dYes!LGi9s_%7eHb!D@RV>HTGUF8tM83J=Q-ICxL4-58pk}nF- z8#9%^IuZ=FT2O_~%Cvnp5&^YPcgt6{w)ZmLB7C1@1Z66|wpX*!h^;%mR>w>}5}QjG zRc#(nDKx5~5cQj2h)Whws?t$v1#58hlBfr!7houst8nxjsNvhMVH86O%-T)N)b(SI zOgQm9Yye9EZMqIyEw#Ld6QCp&jntc%$tQ7ZFQGEaC&7gK1=GmSDtrZOYK>Vncx5if z;acWz9vQLAT|&Ys7pfc8iu{^`W0=#+PH!TInA6H~1-@f0B%>M?_&o$GYN@KZMISMM zSk;9`dT1&h^TaI{-c74n>HA(A@2OdRgB-Pw=gYlG<^q~aji6jWTT&}(8zcU}tl09k zf#?G>?>|_~=fDp%*!nt_&)ACe^-dbsn#}pb^)N@JdJ>7&hl{H5VU%Mic3r>ncK7}& zrs(T<*tJ!I5BVQ~PKu5YgCsCaUJFRmQ=ZG4g!)m}hzr+0DE(7PTFN8+QUk$uzoV|1 z6$T~?KX4i)Ee45v$r6pS8F?4Js@>`wzN*#wCj6MmNOx-mWl5_wtAO4HnCaA4ui8rn zYnHqzQeBJ9+3`W*ohpWbT2Q+Cw^Z?8-Hm-S$Gy}@f{fjbw z1x%INMH}+xDYr9#vs(ND+5#UQivu4%daEA^?He!(?K=SI2jB+)vjD6BunoXb#;1@c z+`vn*N18W*;(_LGO)myy5O$v&y);W#1)jS+5~SXirO-ms)Vy;LW*P1C%9Bj&Rvrw; z@z(xkyt{Jr@NbZ9dOrsKRyy!{z7O;z`%QRfIlx+%+vUtyeVrW0wWE=v+9a}YH}CL! ztrK!d_iVCYDUfb&T;fhp1J8^Yd2Z0H;f4>0u;iq_rAc()HT7`Ltw~wKwJ|nlw$yT^ z*>`#R8jwoXY+7gY@Tz?VmAKewn@Q^~qdFzkq=KVg7NR&1>OCeO(9QVer&32u+mWle zhJ^fqhhytHo6=CpN4S)p5X)qW!D1+E>2l-P_v||0DTX8Y>=e6{5>d++%QX>P*p z{?MiS*PQsidoO~7&8Z>LFv3!egfGAvm~*Z%g-O4Xh~yGA-+B?7tnX?7NoV4xPrkAA zEd-y-F@T?C!S=2!Op$*A$@RjWp_E{2K>XFfY!rnxX(H1D_v0=<+E~l#JzM}T&aZ&Q z(Mx?L@=pVB7yrqcD(ZSb|w^OaNy%>T=&iKYZA7%AD#pFaw07*Hy9u-_&G55 zyGUAQzZE)rE@E&v)83NhjN+lnZ9~Ji6~mlp1lyE%JLE&bdjdDVK^_!v^K+3QO~Jd| zj_hx~&yF;0;XjySuKqMtN>p~|Mk-M~r^fOMnjc>0k^;|&oD8NnKm;z#S0z@Lrt_eI2FzDo)A25s3T3xOPGxh5NSZHD%Tm!p1nKC!%8-xT(| z^y@1uE;kt;7OwNRBcu6U$gJCVS#UM^vs{n2E4Tp~90dk9rk)g+v>x&x> z;=wWF&-}C(+Z^j&xsP%O*DE4)k?(v|n2;YhQi~^dqN6KRUY)>ndHqpPg8DI|z?|sc zL1t&JHBKiLrag1=Tk}qtFn(%PCVs#CZYMut`?Xf1bl%l8MhccO)RI5kDSGR|ob4}K zN6;<)Df^m5M)E!G-cv{LE&956%3EyEX!~mfW>u+D-_@ss8;T2cYg27xVyi=uKgYr|D~)LpXJTB|#Lfa+SaJAUcVaqNNcV7qV&dwdZ|LeA zy|?uHpIg&@(aVy!FZ@tf;rj7_q)C0tY0CGiB~ho6Fo{7bLJNh%j58d+_UYmz7Kn)p zdcobdp|(n=MDWaAT7s7MsmBDc&vxRX^myTLxLAf69?r|6KDb?NyBffCYfh@G^(r({ zar~SgL^`I94ml5y!47@-8I6onpm7rf@t*={HRIEMTo7u0UfGt|DD>d6X=pcL*cwJw zO&G-?WaRBryRR+E=MibTF3(XtG5&BPD9m_VS(Mx7&iCBQ8T*AYq1RyVIRqt~$qL2s z%k?&pw@kwMGSEwwWZT?nVrzD@wRCSb|5xzt-t^9UN0PxS!0y_ZXM{TXCa;U+phh4a zoqc-q)m3`)3HM^?@7WPavr}%&1EH^yGmFu(;2*>b=hr9CC+~#TOE2(UN!BXqTr(mz z$KX6ArORQ_)5+dO!D6JPY~~=LBEPqEnQpqz9JvtMg>I&T8{c-wwJz$g0c*C(_`l@AaYRvvgg^t=~Q{YRn7WN{1 z@lH&LtN;{Ov;nD%mi6h`*)36emDl#ul3crY>3+>>-E94tXgSqd^W3h!FXJda1xe`oO1Z zvEI+&GCRiRyi!#4!W5vCC>K?v2krvLJ@b5YeNR0K2dPEk#ZbaT@KAy&ic|}~lm_7p z_?TxX0UJk7Zpt1g#eDx#%GSgvCBjtH%}x0Ur#|ncj!D>4wT#uod3y)SOZoB3*3^UG z0>?$?SNge_$lplf4&3usi8a7~Ta4_8k@1>no0u74dTHq6OGB7^K+)yT{8z8D-%Gt- zA|+cozX;6j-6o5)|9xlCb5jX_8H4K71LV7;7SEj&`-Aq3J01D{$R~g0CxCn~8tPee zpxv=c#^fw6Ft!^`;Y{}T>ZHN$EQ?TL(A4#crm=dLnWNjQ5-!vDdX@)UFmPIjYkis+DB87M ziru%xZe()SH`OQBMQ~`1uZat!4W)12JM)QtdTReZP(R1_#1|PKcx)8~{|t@jWO_-o zLg0{2%0mU7T`6=C)%<{f_5Id57^+JS748nrklgR(Uy!rQHU?8<-Wyulj#oS;q6fTB z8Nad=OIJB4bO$ma$9=IMnS0#|xI738sXPYke2i4tTtz2k%XfwX3eH$VZ=>bt9tM(< z0`E9}UkBoEu=0LcX3oqGS{PR#ov%EB*3{~hdzFUKi9epv&g+(fmVL*0d3v(sdXqp0FF zVl(K4HiD=dwDjjWk(1ps8yS?wd#p9ert3n-`n3kFXBoDKwGPq|cSOh0r1By#Pg7lg zif#L->ZQc%60k@Tq zVJpWQaoX{p=}H;jbTj{^lm@+XAqonnw}*YGgMMBP3Um?^<;f!>ntuII9tK1SAJAbC zu7)=M6gRxdWAUF(6Sf;8ZM05Ufw5|XT~CX{>JRH_LZgY4!wU)aFkg=kut2e-m4Zq@8T^Ta%z{L zeA&~wyu@zl+P1hCY`zHzAr8eL+=mD)I|2=Q>pKi8#qi;7+QC$m#9(DULI#W+5fns< zmwULULrXNq49K|tV#}5CC@RS>kxM}!TS~Syf>!>ofa0A#o~%Qo!5Zby*9tbp4d89J zR^ivO4_j+@$L955cx8;L`=+KJ6E)R1n{2<h?E9RNk=ysOE8wi8^h_ZSa+gPSY)++3$cY&|rd0b}Oj#C@{Zyx$@<#}phm~sGTBy^3d>8*D*XQXxG&tq4af9y} zB32`V$m6bUIqv5uCrrIJ1ul9(=Q=0kT=*!Whb2GshdxKV9vK%d(Ys(9% z5FwSF0VuI62S8746^9!)NSmYfr_rn`c&l4VxqtN7!j6Cuo*!mkK~$|i?D=6o?orUniMEkZ(Sm`X5xkw5 zP*^uN2*2eTcdS)R%;{I^MIl3A?91O|_Ouz*_sSq%P zxrw2b?Pq*03EdU}1nj*kV3Besl3*{MMpt5Bhtot^qht@0M**29!T7^@BROF#ldF9k z*vR@Xko$&8$u8 ztxTt2;YN=7*Yq{kujF-_m5UBRgpRi?=xi6tT! zmi6E|)J8fVm=pOeN02t>17Z#jInz--&h_*MKRaoX=7Lv->XFrDeLC~zOh>giZVm@*2r{d~f;bd%}$INr4IwBiux4DM>qGBpH-g1sf3OX2B&*W8=16SrdjRg`$$ z*j<+qwhZk!JRD|RQzd$597>Q0m*B`wmHgci&Bh9|qpBxXDdaskBN5qO2aRCN>Qe=U zW#&(1uoZLib6G?z?sBNZaAWS01|g^Fj&@4KIF{g}l!=f$K}2eDPwYlt)`*$RnR$~H zd*N-Z3TMWQza9m_xLo{_3?ZlAzII|n?1ry!5inU7AJkuw*_j}rBJ*CpQ_TL3G&|=esf}HaJ8?ZKXj*!BK za%H%G@7V#_5c{wTgc7j8HE;nFQp2Hy{5@&5ET+Ybf)ItejknYtOY*eBg zhV|giIP6|1=U>VN(EddOI9Kp6sdJFDQxGnX7%EX-IGUsQ*+s2?vsp|xLNHT%0Z5TE z=#;!I97w5jjJiQTA%9s4R)q4i|34;B~D!K|Z_Ob#}1r&-CO_&Z8d^08ta}bQ-7W-I8w+`QOJ1(5KPu-f_A}hlMjab&U3S7jz z;M<57?U6Rj@$K1}m(f8^p{wQwh_c3Z@M4LvTV<%qQ1nS0#Ba>ck<_Ry`Hp;$?Zw%T zdUA_hg~|NY_F^_aK~*D9uKf*9U;Dil0pW$ddZFM(0L)Yd zfc=ZX;%u9(rADB))6v6)#paGlAH;>5__13DAB=I1`7NY7$|uuF+)S!NtC^g=pw839A2hrE{#U+NAn6zP*0`W6;Q zYoYcU8oR8*{1KL3O}h^lNiDA;!WlhJOnV+Jic%Y1P>OxltX2xH@b@`4tlE4$`wTgL z6O|GeNhS?DL>`Vx5oL=yB#|aO2(xE6iLVHbzMlFOBlHLA33D2;L>hN9WHl>!Vgnd# zd$$-c{vI_P)t7Mn5uwCVnjp$AJ<;V`MwnuWYkVK!)TntU>3{(>j!U6rjG{Qq%<7(^ z{4cHkOY6eSih3Xh66{CT8R_V;2ADRqaD{1MUyyr-)A-2Y>Fa4;$wPl|iVoEXqBQ(b zi=8)|#xtG9#|bummCq28$P)e?8>lJa^8siEJ~#tlUr~o^y`d4h|^O9D@KPl zb5GVTr@`=!<_H>)3QvAVGYBKQ91eaF3P=MUp&TYz%V?4?1AE6~F?YA>CV{C>CWBCe zpQm>oGFq=MS^J^W632gt@g`pTCrRb9pibS}Dw7kvPC^^pA!S&bjy8?|Yuv$t3TayvbyeOp-~K$MJ{3#A01p zr?sZvK*13eGAU*HF@w1&A;*j!9SSWF0F({T6m&CXyrwT~IdeH~SvwZT@uA++JH8UW zVxclJbolocG~ItDiAVfccG|jjgn4xR=!QrLz6G5D`jN(XM|hJTj?*#8)DCMUox;&? zcj3N4VO64Pg!mHyJFW?)27c}Q#Fq(hT#s63q)r*Lt#wK0%SkW3iXUcrO9wX%lgpQF zI~U&jw!b`7GNyy$(&>E9lgA<#FZ(DLVI)BYoKP4RNl9DipfX8FPtb8=g`4lPwPY&h?U{? z=tNi`jg0f9?`%D=JHZ_NK2v;M}nDhGXKi$f9&3CsEzt*6j8 zs0PyygT=22*h%Fj2FAm2%@XE2shjd)W4-=XAd|EtH3zJWu4d?Wa6db!3u?tYMn4nHc9 zSX3ffAd%nE)^;d!+s*xV0{2?a-~Q=IMCEfMZx$61iHfL!`nqNcDq=D!qFd>CXZFsq zXWP>J`d>XUzas_@q9Wc!MI`&3?IeEf&p2F?rV+mHWRnpZ&!{-G$Tv=}gG!My$%E;Z zvEz}I&G*IRS|Eo0FxD9v+2jwUX|TM_fb4fRc#qY< zPcyaO^GC3D&-DKAKf$QpJ-|gu6c~9Yw zc|W^H6=To~Q}B<#-7Wcpe}air5N-M5_&l_PUmI;CsGHCp^bx24vp)hh;i`%yp}D_A zWPZ5>Ud>-zG@qWj9ULq@tYFqgtH)cK)3!!stc$g@AAFDZ2KZh(Xj8lX_6Pq2zvbj~ z1QcdPA`F!9$4yYeE7%9C%B0p5$-9Y~|feyT})H{QmRhlm8C|dO%0bgT66OkN1Sx&yJiPx2 zR$NV!Uv>3E6z9&UW5^sfooKa+s%kW0s6a+VLS>m1Y?c-1zR147zK}q|P38rdU;#Zt zP7mF0NZ_AIj_|j&h@ZWtVMt{yva7?^pN=n?mcVakS|uRRk5q&AH7(i)Rqwm>SNf!N z3fiqozrIcQXsJ(PWOu-%PvBljWv(5*%mHbr$k)hWBX{aG5r3%%a-n5LI?z>qNb<2!Uu!G*tTMD`B zoBsI_`iG!aqIh423wYn}s3*!_(oL)y#GHPm1U+(jXFpv} zz4)mdc*Y_l#gLmx$+Q^Pq}gb=4{v;B7RyuXzf*mg0}-x$krz8*SbHtIOB#ot)?t&q zGFsA08UUjgz3NxN^nQD2!UqfL+#Vt6Fb99k@spb+d$&{jRmu9XicGYu(ic>#pDz3lLswvS#k4uck6K z&Pp8(85O7v53-0HY=+b2ClY3rm^KWYEY%L>C+coNP{S%c zp{Cw+Dvf*iu(Bqbw;(@RyOjt(;k`nj+{R+YE3)zdClZ|^`WjB%WN*nXZ{}@Wmj8kq z+bJ+?mSm`V5-B7ZIz94;I3~QcUM%jrN&Rn}5|&LA6MjPS%=aQizKikDyEw@$C?J5z zhS6wn7OstA8de0ajeWU62sC^p~9=yLkac`dZ@+slS* zys;NG4cUr~-!`4W=hHl1HAMHn6Q4I!u(r+K<|(MtvgeBx(%V>3O!n;q_7aIqvF3ISN|ohxf@tVBcU7XR(F4417H!Hh(4()5e~cQCZ6o;knLjDq zpV8FkeD{vCH?4>y0S)~CqSjnNsw;83d&N)KAUS=%ld2M?dTNH(718PfY5ONA07Iwu zm!pmw>Wnx!vLuorEZ*0ZcW-DtJ7Vz> z)PxE?YZ*foH}>YjIklcmi>QuXborjQbkSx=!FN;l;`TE4dQJ}7udZF(zqjhrcOLl+ zKbPj})9!R+x9G*pE@7*sln7r7|4uxXwT^J;(m_dCQ5fej`9z140}LOWPrfE!&NqwHKoZzAN-y&N8V1H!m8Fd z>qP0nPA2!Cayxh6g@ld_o5SY0cEs`0gHl44@mrtLNc#sz0;B{~*FV?EByiK903lhxhX zmrW6FEo+BJ6T`G&djv>n5wz2p8!Knt;TAUsk!Ga*!ASo*AQi!_aLvg>xh!t8T<(_f zNO1BP#y-YAMmZ*`^(wRo@kZP-1(9+Xu8fFRdBdXH&tjFr#Z1C)X!z^}F&npWEG)g! znTh#l!Y6HeiUMkb1bHm>6p`VyY+446dFnU>@zBiS$q;(MF ze@%ODPE`~-kSvl)1xlfs0QiD6;1M@*^Ws4D3-q45pzs^o;o)#ncOlVn1LWwZS2$k) z9L}=!P`!coF~`eg8Orqn(w+s7@cW={+3vy%5dnpcA0D4(guTnoBN>VT_Cql%Z=MVw z-UQu$V%2J{x8K50w3ZJp#lv01HN(xpEeXxf<9>+C;wS2~Sq7{33Ssf1L^(yn@Ncc) zuMO-Hc4Siu(jrM9Xi4R_SxK(Jd9?w_c?Xc{c)_I@vh)_8LT<>~(f^RW&L{}?Vnj>2 zN9o15IP5$Cly&ZaC(L@ylJ09<3KCh_UTP9QGCUWBw>zBXe5M-@svXu$HABlSO*9K& zeJx)UM#o2=3ekGp8%}n#STh|TX)pOB$|gKH)kf)El1PUqJht(3t5@f*JX5Z8&vJ{o z;!uZ|xFvt}fR0K?hYKwqc9(UWI#NhSz|aaNmBUGOcVq9R--RuGjUxfmmtn*=BFJK; z%5U;35d_^-@A0RQn@p8oUw-R2F+iHZzw$DkUvvM2 zVbg7uhzJxx1oG@8!D@yqe-aeYd}H(lH=I7f?nGZ#5({1od0I#-U87btsvQli%_SmW zqKIVe=$l%?uDHo^2qiTp0ob?DRf0F|ty!(;d#=28JF-TZ16V3WO;JrpwNrLX-{@dY z2NNpX*-9Go3f{!(t-AYjP)o)M=b!GJRPvYQiS|F_obh?JcU$ zBf{a5=x1hHj?3|Agl zoe{1lDUnIBmbStT%hDv$rdsijNbgH65TEY6J z$U^N__|U1a4-wnin$Y#;OfTaCJ{ME{D)&|KgY zt$xDzznu;9_T0&>K)r@@!^f{JS01Zd`m0Zq(|(C$Qk_4Vlr$*Ux8j6JFy4id<(u z`BoZ;!EpNH?$!D2UzaJr0^bFxpZ;L_weYPw^FlPirD?nC7=8Bh%CB83hwSBr9@9`s zQnE)c8P#uLd}1ARzmMjHlcDlaC$!SH`|{W{w358_wMQS9NYBg-Pu?BRS&o<(BXGy0NK%rXNZL5b(V zdwatqdZN|2+&x))?On`>2Ss*;fcW&Ir`0FlH5sn+BA<|~Qc-69BkupW{Pk+&En4<{9C1qeiM1JgdwoS!d}Ly5I(7O28NV=8f)m`}zf}D&QO|+Ml5MH-UnM5tDiJp z<0c2pC9WCVfo~?%+&iD8W6l|EXKuI7VEMuVhv?-TjxcqaphvKbI*f_n^g138?C%sBZ8yv_2Tiby;Uf=XDYhW-242|N$8DnQ<| zN0GGb2=4Y?JpIw;=BD=ZlK;w6h5A1Wu_$5UL8 zEpTPj6#dv@4{@LNz*3Xbw+OQ4^5*j9^ya=p_E5)r>eB;hM~T}C_n0MY9Xv_KCDS(_ zX%b&>5`u6 zioUd<bt^zB{fwa{IZHRpk(EQ z8!!Xni`$2CbTDo$h6$$ThY0CGZFi;(^a_}pUejV_eW&4}Ujy#xD`}#A)`fGs=C0W) zH_y$?g|q)6mGC@be|Fu1mBM~xhOnq!cX+=Y)9m`;wTB;9^}PF&g`BL-BOcS{(#^B8 z#52fh$lMEVEy4*s^JL$=F|634!W$`xBrxldc00yP#UB|VKPfw%Fhk8JIGU?(sasNO zCR90jZe{>m|K{phK(;TBxyVODbCRS^V|hv?7x8I_5@XsPkgsz zztegd_ElQHZ52JagUQ($f6~U7$;;KY8SA0P)bAYvm9iTt8aPFn*gv2l6JHlCua^&W z_JJ9YAL=!$yDkb+t`(zp0KQr_?A6YC&p1mui_k0Kl=^;JTbA8;oEwH-J`g}aP(*($ zb|(oHXy^Xu=?&(M$C+W7!#%^RD1U>OUnYLkaAe=ZeBVz|eIObG0lGt<%hxgI{{xbq zO8n!5`;0CvZYI|q%1-)OlOk1IP3rTvy*{YRbS)J*Fa7#;A{>ZsK{`V^gEvD%b-A-% z!|;lJz9nzmobu_}X6S<;(~s*lc;c5Ha;4q8-?xk?pL$l|os}uFHrHWRq6?C%Jh3j3 zk8wrnq>IT@p-YI*jP@kD%CB6M4K^BTpJTv9#O`qkxIB5GV40=bz{k=ZwB3vO z$4l()TbHN}zwCcaFl`qs!ra7<>G`y_FpQ3F>$?S30+eox%3|P}MC7cdA&>Rc>N`%V z1`&O|p7f?uoUWkIAGyot>?c?MOvZE_&wjyacuQ&*$Bv{>Prsc5HI}q|W#Bevk~B=Q zNxDX9!>qOHyCWkeSC5mTmgF32L&}oLID2D=4JPuBL5H_r;0X)~VAKqY z*9@{HtG?kDAkTruNLrHk^s?-SE3crb$jGi^aa>+2X2|=A{yZ>vj8Nn3&%n-|CetL& z>>*yolBXr@Wbm=p9&H**?5AsZ2mwfy4Y`3Ke`B zbP0c-z2I+e(O*y!rdQBI^`$JTeP5d#2DfgYx$AECB^aJNvb!SZ^lwR9Pj$W9yH$7u z&y_f74f`*2HftYCAgCAxFX&SpwJiA#)dv6IB#tDbvx}wnkDvYC(Dlq+&Q1}1lDce4 zwh0ph_B<6XY~ISRQ1flG->!2Tt&ymU5Sm9d^Rh2T|rUA-bP*%u!QsNyV&e4 zcEL87;22mZ9S56M@4@Dkj6<6!2rHU8x z>4(RNRS`_jlauq1y<_yRKd}0j2;;jMsDfmmSAiB6m#_bSAFvNB(PY-*-YnV1`wzg} z7L>u#mcc@oKz`_XO>p22t?j#@y}4EE<9a47(lxvT8z@^ppZRZih$z2yKC?;rU0rY1 zKykNb0x2%3Rb)3cnhX(cAV?&G!@5GUyFwD69z|8Tze(WxHzbr~z-mMAF-_l=L|c5+ zrGA?BFVZ>o#q`xxcZ z1?3w}7OPEMk)kCVSlWkS>q+4h3w|8ff>rBk+^5_WWb$c%CJ{WLY; zk+IVabP4AwV!)&(C6MM6bq_MJ4gDc<&MP>VaQ6T$Q2O-;tdrAgG7QUW=okL~5O}#o z+K=`pC@sFzu_0AjeE%C`>)8~lFXH^hxLu;Z@Sk+bRf`TDVzw?aPSdRThMBk}ZT>Y- z->g~7=E=7RZbdeIvsM)O%@#$P>)CKkVAz4SYicP1nl0;Z(psd1%YV~9pTZk=vPGaCJb z9fT%3@Ft6TknI|3)X2Y(RMz zoXT~0PCSL*ZfYt&p1(8{-+ zs~^n$;p$<7rtc}D{~ld&(f7Xn^qg#R^WxZS6I26q4>9k@XCK2Sz@Ch~_&J5eURx5T zC-lsVdZtIHHq5~SYy34q37t^7=SR%7$32Z*oNfjLv6O)(gQ;FWVPq}65J?BkkHOiZluEx1(ILD=J7YNgPp$v=ugZEVDf=jdQG$5KSyRGU6UB(IKSJEE|KVkSP2nMRS@(xP zNMlMR7`*>n@)UYN_31f*9er5lSkHH_Ve3cQ;^~}(qQ$7DDhZwdiQfldAmw*`YU{ql z>d6Ur0=3)d-)h@1C%!bEZ1;|J?)dqn!k7AoEAeyV0EU5f!4?)e&;yiXyetZX8$D7M zPyaXBcFwxK^RkH9j1F!TsQjm|-8^OI4-aZIK}Ev-9qC$)6xh67Zi)h1WF1Glao~@! zw+W6yM}1kflf`E6ls5BK6{nyaRQPrTk)fj&s}_GJ!BDw>4Oa=p31!)yhoFmQ$Khze z1;H$Pk(O)teIqoH*`hZkG=!;9{S}^WVGq)*j2hqWNPhBR6k^oEj!!26G%OQQ5D3`d z3SPXq^=Ki_QHW|ruI=&aCvgUQ7N4lR`os2YE^IEWF6{iFSHaum(i&Px){N}Jc}2@-U(T{q zLO1X4c&Xr4^Sv>5(0iNCjDSW$*NwjMhbvEWr7)?s>6PEK9Bab0!%p39#lE4^wyH(M zvEC+q?pa#2d6xAlJEeM4&;ukeMUo8VG{95rEwZ>xiIW=I^pHgrcWMj-rq4dkE7DyZ z3`x<0b7H9&*>=%#IHVc63s#e?g)3L6jm!z_J zLCbN{IPx)Mtj3m{LL>HN<=g8jdnv{EvYcl1uhD>EQ#}%m38WSuZXS~RM9rw2Dru!=|6;t~F zw&Mp5yT-Xoo!ZnF2&G&oexPo-dOBt|VwznwLuXRj5(InZg7P<;gGC!3EKCm07HNi{ zQXm>UmnhM2Ki1E9Z;^N5ro3&0L(0}vL7xgOH`RrQD{mu)SyUbMBiJmpBnZ7iQs#UH z@t#kZ<#K|8`vqKGJW%f8(Lz(f)vLp`)T)iuLm8!YpjZ!~=!MGI`HIHxq}2!ULcs;I<` zCa&3=WD^J-K@E)xl3`i7DiuL=j3&obw0FNKo>w{C%T8@bysIIyMjMsqJaUIXZZ^w} zHnd)B{>}|s?uDCV&#P=e%vV|<=B$bkh{-h!l6%L}a94v{8;SY`6nsOV6Z|(k&D@!a z+cgY)u2wWMp|S}~jgNUGG_rDGDo!9!p!_qD(BMSf-H(i<0|atmsx%Ve^r_0$QF(gB z@gbo`X;4gDN8L6mjxyaLt2_`G8xrc`aR-a+`Ea2a$QnIT?1t@u!3YyaX4DgqOUV>> z>?!>MDh>`~2k^c#YfMOJeHsK4_nlrSNF;6D5~R!)MHgxWr}o5lw6&UHDvNOVoEU@n z19D=x@|LF(&uaj*=wenJzpnKqy!l^_TYv2@+?#ZTU$3~$5VE)^qT;`RyOI&Z=NCDs zvCEfNRzvvDf89PQXvDI zMzLAx7KcYx$_vmpXp3@t-~bfR6hKEv~Wf)1z;bL&9In0x@HIF+7YIJ0aT z2c7qyul}rj3gvD7>{1O7^90$5T)Ii%=1X${XmKahhS@O|RMw!4au!017CKPm-gxF0U? zyl_jFIMd-RS~gdQG+N_sFtu(b;mgR0pa1fZs|k~HDWgpIZlnRrvlMM$d8VQY%+a_w zi;?%}S{B7Feb1Eo*$`i2XxwMEoDSt)f(ke;r5 zrv}P3tfVi8+Ii|EexSOPXlSnY>qjoW+qxy__c^%%462e(!0h7D!bY+nh`Tu@+l<*Z z+3J2n^2~h4kIOUG&B7tSg=)WI`z<^TU&IpI_&@dCpKL8c7Zsr2kFAcbI|< zePQ)Ic>z1t-WnnxW75@Fwh8cOmyfsLw$!o60mFj)LA#qlw0>t_XT})@a<1+i{T!$( zEH9Byv!N0sN6_|xMT}x9z+>M>10b{76=6nn6Z=t@_9bIva3i>awvFRy-_>I;yIy-udTHLDg@jvtuGj(N|+WKU1H4C7cyfGup_pwPZh!Ekj|8019X(PW#3tXwGVRHJB2XYp>binbvkm`0?QXwidN1)JKc zM0lAHe4D6X%%=Kov`Q+RfXR(HiulmmRt(s0a1VgJe6w2wU`yVYODFkE0Br z)BMRGt#VU)1yJ}BPdXw_@P#=K@jo7pCV_`)QXafdX~gyoV9^hxd^nz(yHDfJfGRic zn}*E%vAQ^ehCG5ak%2W9Z~6kgJ}~iLnw<)r0dgf_Y(Q#HEg#MGLK&N>&0vr$oXC{N z=DK|Jh!Q-I=u5yGa50nACk;9W?y>JR=q`%XmmXPFumJdgto+1FA8Zzd0QkM%}OMVigcH}`;K^q-0Ew6<~+Rz#e1YdY)~ z8G(w_6_uZ8L9}WX_3OS(fCyJ_xBxNGbt!}ummAUimH=EAAVg{zhcBIhi=PfB>*KqEQAa#`zMnQhU5whGUDd)2Sh!=%!15~kp`k*|szPbYFLdre5n|?sKK`>DW zCZ&XW6sV_@A;rilxtx7zd(KLg@D7}q=H&4+V*#5_vj?$Y zBkFxyk{s2&nU2jKT7Z>%QMeQsW(*rcfkDE65(+#*?m-b5`eE3Gh}pY1g)_7FQ0P8D zqv}1JvzqY2nfB_8ILIpaE3dfp!kJd6Rif4O*x*8~>o**wI(H8RD&65jVqj&V4+B;f zCd|Z2x((1MF+>K24034f-Fp%?KE3LfyQ^-gl-%R0=^X~MPQ5E{!`9XgeS5RVE;`Jz zJG3)$r=4DSsT>^mvK~Be2$X&lI1;8M*!rLWXyzXB4^S#FFG>Z@BL*t4M&ho@^cz3# zUm9VTEy>S9@Aeq?-0#uu(SedZ#ZW`z)VZe}uADEv15w4DB&N31Dogx^ohr|u_q{K` zxzp!y>BPX)`Fk%F;Mw#?dHhC!XDcj#N8Mp~^EfFva*;fH(A?){? z=%?DWQyit70!vvYeR(e*C?izAps|+caOmbZrVn}iQ~-JW%7e;dGRPx4_Z`C?l{8W| zjOkQW)O3aY{tnPc$~&cP9+egyoyqoQr_33OUw=L^qDAJe&YFvpI+ym54QQw-SwLfr z@#-x)ikl3qo7;3~l3>M{#**Jbsp<5s+FH;LcY*TDrvv?9iCjTyp8GcC(Aho+THP-x zx4;d5p-k0FhMjQi{co@&w4TF%lN$TIYM8zz$HL};Ux)8}#sa|59*D35m z-`2;>@f+XR5~0B62FxC~1+!z*E0H!_R`mkf<lXFfw2j;< zy)t*6Ptj~jl}QvIDyd*UCyOtS#tBJN;`mVk@lOD`n@vWcG!%lPPg< zg^uvSDrh0dESeq3QLmiu66!y*+0X6>*~IrBDCG7@TM6Z`pV1x=r>2R0VP@lY@3^N* zdU>Wamp!J?og>+)o$-BI8{wrwdg)tm_`spygC;$P=k$k?_CS$^!-na*{Zxa6YO7S}J}pDE){M_Y z;np`-(AeGMLnOc0B=RT0eS4|U;T7!h{7JLEz-DQ66Q>XXuVar#*o}ZMWElFPBw-t_ z-s(GwAejYwSEN*zooJQlPOs@l0#Dz~qTSqjXmO86Dn5j+?mHCI^UagKnY-_5GGC60 z27RvoR#x);+xPW{;?#+4g{y-)W!$?j`` z4`p;)OglZaUu9zZt6vmy{hdaJSvi1LrLAcc_3GnG=Y?19YBg0~+0Jun!TF6y1b>4|s1m7AM&9U+ zgyp6w3p?Y5En%QhD|uw223Bgdj}JqYd|s^G++s|io*6|gr|cs~Zq0liSIiz?e`GNp zA)_|A@4Rz0u+h~aK-uiNL=)D%_GKz9$a?j;uk7n|v2WKN6B3D%hK;-?pcG9F`R$Mf zqnzfHK(E=0h?o~c(u%JrcI`ck*iOi18=tq|Qxv)Vt$J_q!gsJQT{%^m(Vk6>Ehq~v z1poQiZp@~C0j``1_q1nA=pG&uXs;eD8merAq_Q=v$Es!^3%Kja@4nD*tUZm*HycKs zn`xj#DUb?4mwfcv`RNJk*jzlfz_W8}WD}ac4gK+2uG4tcpkz*_i{k2@FLEf|rz0m) zz>dBqsw5shV7|OZ>3xB5@C&ab+E?p6;EA?E=T}?6M9u=&0@Mx}t#8kr=gNf1w>M9J z{Hn|eh1#w5j+68tO}r71bU2>6`3*t$CB}Igo3K(buyo2xBZ&i6?jOxAbEbeQc@ORq z7eHSJ>8&$2Bm%bNN(+pCM|lhD=wv z>t(82$?5Hg+J)#}ry4(T;0%Ez;CRbe)_Ax{yuFL!l1aXpZPo`FHE0Bbzkibjvo6maS=KL zTfHuS9);^&k|=b+4NwQSjmKDOkpTl<;AL(l za&nLVDqlG~Jol+`m_HgDJh}FSb-3|iiK5``jUF#15eo#x;hPR38mKP#^KY~0Cc`H1 zjQk~dvw}ib4e|yR5uvD?h>EHa_@jjZBPz5x^kryiX!&bQqDskl@9aIsG1i0p~ErekbkOwKO<a-MOD7`$%_9tlgJh@(W?`&o}1hwsg1$?5ElR3Zs7#0mm>pGo3 z95!!pyCMjD@23LVzdyH<^>A89)I}IvVAnDjETsAiu%?%PwEQvm8*p<2alnjgvFUuQ zd?S+_KJT8VZR7gk+xR8B6PhhD!#Iw^diBT%c1y5%+Ui|;YbS*cXzpHqw<|!$l_OC0 zHVrKLm&O=b-2#G|Q5Nyy53eiA3q{c7q9)aDw>#tEolO^7t8J4c$n;s`_fXWtPcM!i zIIQAEyb5G;15F_!lv1&;FR11dLL>sJ%MLU6p-X)QdeQx_x9Jn{dynd$ToxFquUy}b z%G&H@cvOuS^tzSz0&T5r7wc~UrgGZLE;9P9aar7-6-OrbA#1U|$?lV#gRKJQD<++M zPpWq*#k|nW1@y!p5<495J$XR3wp4DdsA!*OmULlap37pOVA?3HTpQi?Fd)T(*kkmJ zr3bQ6aHt%rI6^*J9I@869y&rFD7R58o~-@!!7$}h0{q{2tSkEL#ZcXd=dl6qAriqy;1O?dUQEGNFRC^WQCo5k}^VTf#osN}H-Z0%HRYZ7I zdAc4|k}W|HUe%rvWdrUDJRpsRqqLugWd{&(M5W_|;>6dGr#j`h2EYPHN2&@!r;8BR zPfp}gKk#Ox8<@shi~D{jhxLX0Q(AMrEWU!TC%&Kk!C?Uub~*5c2NGXa9SU4R&Wty0 z&lGhQ?lX;Jl(*?p6vHN~Al!-w*EDBNEsxR)3Dbqc!$|M~j|)Y?M)kchO3i0APc~C0 z){7ub%_HLE<((c+5U_32C3Itj?O_NLljDyw`Wc9$8wD@UD7LZr7efW+EiE+-vBpl0wgVXdO8%HzG}nIM!|?=Ve+DH}#ZbrK!*Iih zy1pH=ZpSo7x-c|ZPF9{;Va%z;u0Nnv^x&vkc&fRMVzi{j&H8phU&yv>BiXZey0*6KHuNSoAXX@tlmb8EQlV4 zG-7m>6BgaBRlEETFff-kRuFDxnXP3pY}61wW-(;+$YLM5UcQ>cf(Hr#{D&>Et>Fq+R5=dyFy z<_lP%N@ZJJZEmmHp3OiD>gPAQX8#4eym*?kE*=cGb!G!V6sa8$rJH(@v?^t0K4|mT zFV5dqx$lD*_Lp+q8_J5iW%4+`<3@&-FPDSUtyRk!yJE&yOM%JezmAiBx$ZBSUY*}e z8JM1TdStS)7L+QJ;gdBV^y{MkxchKvY4Y90@OJkPN86p%qb08<0XO&aAMHkI4Xt4S z*Zjs6C`_okneQm2Q#GJib;F0pAH2lA4ZDNbjDINFd|}Y=chFgI5an~@9&*G*zIVh&cBjBzHDIIpsULIbkcsLyJ1jWgJRJ2DVB_;Z)h%uRFC@e zoMm~qfg3NC?J7cArUZ}7aj5}0{2ar5LLFB%-439 zG?#3IScoZM5Z)vO6ULYp*)hZgM={BsO~9&Fw0FI97N>&btV`U-e*w|$=hF0GNk=db zvi=nq)(I1GjeQ=vULB|&?Wu~_a>mbeWh_o+^ji4k)b(n!Y4U=xxm{Djb6iaamy;b4 ze=nM@cKoGL`Lv_YW6~ybQKxNPNKS>SrUZ`wGM#86Cz{POqDG>oDUqpB`KBo^w2%p- zC5#(mvPY(}jCA7ulti#7f+dWn^B4-2@u;qQWs8M;F}4wnX!+G_^#@PfQOp^;_AV+e z1=i+a&wxsuXcgDliii0sJF^wCT&?ys*UMLOI&q6q{EoPQO<#llLWBN`)C6|w`0vn% zrF+8xO0bPv5;S502#xm85!K z2`61;WIuV6$)WUfdh2=NEa$xj?L@m$+TjOcAY4e|TQmitEASmL?C?-KQ5I2?`{agJ zz~yshkPmg#8xyIT@)LG4A-|-xieWBCq$gnT%zcfem3etXI%#f;eYbU`BdjEkn+n$^ zx32p3uWPgRl@%XkmNoJ=W~2)Zb?-MIUfAhc4I|!U=*9?Fki_LwbNQtiFzU`$yt!Wf z&Wx1;rhCLyCyS`pkC`O3mVe4q$5r>&2u_BqFGPz+E_Xx`32GMT23S+U*(s(ooLd;# z1RZ(fKm~|>ELUnn`4rbruJnTPDdtd9RU*BkCMUR10qFUUfbypEh+2?Y?~`d#tIOM6 z4EVfWPz&RUqWkhGlgKm3?+}5wtc8s+1!gu6{Km&=5v%9Ot(_cx4)o86|M`^QdQ1jd@NwLqj_-7~&^;F6+zy!}C z4||sg5gsl4agj!oG=l0=^gZc{cen3=d83=gXMC>8;g2y~xAS4T-#4pb@wCX#d@H_$ z>O^!cB3c-A^F3dP#&w;mTO)eCM4eQ1Pj(U#%5j&nU-AEW3Bz}8oJVpcz2fcNj1BpT zJPEUI)dwH&>X(qII*KJ1z8zPgs;6nwt&G~zf(o&!rz#@11ix{6*djahVxZO~{>78= z@O^Y0s=VHJCzp9y(d2M+E=@Nit%d`b76yweK=2Nm4|w@5t9s9>As*yz)U^4G$&(C z9nmvkK3rJqLniX9cU5yn5_j{HY4TacgI)6S?hJLjrC2m;af%y)HECb^pYmXrSj$1_ z@WAhFp_DgN~~jr3MTaNz5|++6Uco$zo+oKjlR zsI)nbM<(0mbe-kBI=f+@_|X2ivci1Ea(MJB;Z_`C7bh!H$lO#Bn?hq%zCv_F?Zfsb zLo%|Brl;Q-80V_9jtFb>tpn({L!G}H&XzXLdn-xL_&za6?oIXy7VI~ch4t-D3K>nLm^=|lRu8OBh* z>M@s_h+Uh0T_gYaB~F`++_COJfLzJ>*`3cA@SV-C;h-*T(#|?9ljKg!nD~``84J=2 zVD})sKQIb?U^GGe;v0-Vrc*T)(Xe6qE|CrnQRDScFDDpcPcgb72ei?M+cB=$Sb7bvNIK^V5Aah7RxJx(^cr}1dW6foGB3e zZ{n$1Mfn%6FjpK82^1Dh4i`fPGb`34hRkhsLQBq{o|il&ruFHb zLsoOFaSng=eE*5?+O7xFwI+LQFkK_iNZyb#`SID8aC)BCP(g!A^Vz)arh|S}seShb zzB=dyLIQHpg*~tNq5GlvUC(@tSxZofCK0yWJEGB=r=5Z)9?_nsy@)4Z@B7y1IJRkr z+zEBtf&8{8xB=k4T>HyVPdhhcqp``RGa^33r)PkS$$$p++A^1t@W5+|` zyJlHaop-AI9vdBhY2111un;%iMp=5u^Ps)C>4>Huc{JBfB?!*ZKmBF+q0sX;n3>Ua zF*Yn5lpPM%LE>I#y(KfeF%|w038^j7x26aYHlzV}rEH)~Y|RGKn~LjIL2F5C5U_Lc zd2GxB;Whq+*ATs%GP=WBD0M&_%LBRaFFZe0IzC7MZ7(i*P8k(lAK2%Y(Or4`g5N!- z>i|~+(Bj&d)tthjk(m%3-x!a=y4r%fq zfun@CxV;#=Q~15G_PKAhds%ctsOJt&CgI`Hk(2xp01W{oY=KRTZ(j2Gy$~|u4PA6( zDbSsccF&Bqg#C9VB=$_wQ~4c+xLw*BT1ZO?3##lBI0fe4|#U6YuQ|8pu@#`X}9jPdmlI*J-2%t$w1Rp*X*{nP2+dozxWU2K>uQ0 zotN_#9gQE>T;`DG>deRmJ+r&Bec)QM*sFuO(QkhT*6zX~e`~(Y{h9CkGaCXTM~MlY z*495}W@wg#ia)RQcndaq{9(HmA9<=6^d*tajyh~$^uKYxY7?X3WxvHd6P zn?yn}VI`?J*1vRdjes|&yj!6CdM|M3Cuz0`YIV3$vF%O(-ATF1WMRriv8whL}^ zeirh28LOrtsf9|Bth`0E?>Y8irL=M3fe!1*D~=V-OLf zkw%aPrMqM34yC(0q)SS2q@}yNW2j+q%O?Ddm-Zvn&tpw`ImtlRUFuN)4!3HhDn!%~|{-VKpY zQ*W_a;#yuFM-P89xBL79g_RFK()({>vXTsc{+Y1jsn~Qm=F>LT*N7j#kN3nn{}P%v z!B>u1w+q!|nGpapDb*9b0TmbT$JmN1cbSBg9*~yXkI3@1>dPmZGjXIh>Z1@qSCmDqc8Fw&0nl+ANn)iQ-0P(;FE`;ANo@g z%f(wzYi6czNUcXYnEfx#sV6b`;EE!i>2oRB_5!}4(syd5!&AeK^yw3h^ zD#7fbcm<}%5U6YfKM&{dRALT;>tqkvyIyLWaY(jp5EI&;X{CRrI@+!`#HWYQ$w$hi zlBMwR^Vr&1tgcUY^;U+&{HKB*424FBNuMx}@?m9?9dtaJ{Udy_@cjP<;^Nr-ZGB=@ zIs9!&1|Ji5G>G<@5qIzrZH0jJRnDgex*;a4A};QV>T9>5rysO?2^cwAr{C}BJIE}O zya=~SBCQ{Y(5jOE%Ky-Pl9CgmH~d3l^ZC9e8}Oi718t)`JTEJ3&via9sK>+WH#7gl z#GWg0a8NJ)8ET+1O%py$HkVX?C(%$4Dssnu+}Of_nDkSRL_+1rKUl{VDH992q{<|t z9+PO|l>aEKZlWWgudIwCt=z3T)*CTmw&-NjQ`lYqe+a$FUpn}9Ob%Y@r#e(e#$ z2!SA^Js0NZ1rd9dXNPEA%#Yk!pUDo_`|iNh*oBP|t;Kq>3dC#*ueHCXb50s!hn~gS zUOx@r;bqTX`kCm3Ml-wnUV*r++~E0`a?cSdY0p_K2jFrq7UX)ynVg#RSV6JfTH+IH zJu)+6_VQieH>+G?4$x!(rr{=J09}v^WRgCU1ml2C4GlzDAFq)jwx^g1bW2jb%IvOd z`*!|SxE%th@N0);17z<2N8gPv=YTJ5TD2!JCN|-G&euD%N=@=bBRGTP<%3wcZ)}Tp zqGaU2+Gf{lN@J7>$;bv3V7AGq5hn;lo{ATMAe72^BoN};bp{3%#dhV(-DgQ`H?13I z@c6MKE%`QUVwy}EsPX3g)Fd{pspSEWB>pF#H~R-7__%cCgSRno56UIv!Q6J&CEvz$ zBmCW=08T}kt)HpW{8Sy^TLhqPl2c1Jz5|a-IirBfQfE7Q=wbE`^vSGHk#pYH3_KYkMvD1X%is8}MO?Vu{+dqP!;&s%4ynI_zxo8ZQo3A0xROV?5q zYl`Rf70CoktKuh6#}((Zykk<8`v}xc1}13`^A4q43SEVCmTU^$C`tei7wt+++Q)aN!J}cri2iE;-(M+*;w>vm%z^r6F`B3YdoBgMG9r2b%9VP8sY@{u|=G?O1 z5bP#YKLL0^YKznW=QB$!aC(-7&x#;H^!S1Q2T06V$`YlvJG2x3XR<+>F8drg(06Q% z`X_*aUQnc%vz0b++L!2BMX1p;5L4U5X_9{C&~f~4kT-ekAO_TT#T&(Ff0a&^hBL6* zD4_tn??hw(y@=!uu!3WHHrd}G^E~~3hOaV@OitghQYH4HH~Q<*QLf|pCV9lku$!F1 z&`vukzfvN-5y$B3L7*3)W2LV}%hpe1eVJ(?FT|wxh#IbqJSoaG_@CSn-UHHKPly?P@3*h<*4Eoyw}n_$$zhfJwj-z zZrOdNZ+l(A=c$n@@=BhPumC%3EU0^@(*QV=cbwW)h}IPI0cv7aO)ttlZj*F>nfN6l z-w4f@owWTs39kxtt5Uss?XLe-=%AGW%h_LI8!~zGSV36wHVXL1@6!AxfEP<*l4n?J zJUoA!O4CPM0FytwIpX$ll%?r>&pR5P2x4Zo96)Hgni>*L#3k{VeE8J(hfM?61Ge&~ zU{}kle)CRU9nz$nI#S@{i96RmW+~DfI9B0;Ywa$aUYuSWUR)y2tK-tjy4;gB3xgB? zP>aAsq*Ht{)gr?3RBtkgiVoW(j(8PYVi?WzulWTdzxx`^7#d9>dK?I%;pF zsQ&Rl{)Xrm{){?IwPL{M`FK3H>{Ic?)s1f9!`cJevlz{sutYCTW(QoT!s;0C&lh2d zWGUT^!M&B5$zi~cMgGKhMI0-5 zUD1OOF_g&O;S2iSO3K6N{|CtUl#qLu*PdR~^yi?(6U7Tgr{2B?xF#6}38h8^z;6L! zARzM8;We!X-GWi;vw%dSfl&0!*HtD@A1IP&Rx_b7Jdm4oy_1*O+lQOEKExzJC3{$k zN7O;^T9wa`PZv#07L;UtmnuJFAi*0)IdxM|G?-By`s@YJpdM(CfODtO7P;dOG%W66 zH_d#QWP?ZAeU2NWRykjwTj}{!kAnq=jQi=B=#l4_6iz-Qza{Y6jK=Tkf~FjC5)KZx96C%v9U> z@Gm^XlCSsi8?#(qb|B^B`!A9FXxRZGcy;?~MMSCf=zrriI?#zvq5&u9*vAE0&qxQ= zbn7CBNs-(U1cj4YeU?>o@_Jqm?QBS+>^VTNTWtl|0tIuh>St*nt&!?dA9mzR@clxl zq_^9oE<5DT;18_L=b4&p9b|$=lUeK#tQj8iDocU&O&U>L&Z{OE9?50kE6Y#%_}iKT z6XznBw-EazK)+NUf(>$aS!&Ye6t zo$rd?qjYRj^rAC4LfQ6xd6sKwYY*L(mxOqyppTOIdr4CzQkKWH{H$mFa%^o#gngAY z9u!nOme-Fv?-pe&tui2H2vD6AMO&B-=JGs_u(o~qr1gX)vZkH4wfmdURZqPozKkcn z3?KgOC2@Vay@;6Gi{Ns!At8eLIR*2gl6&S6KPU`Hh6SUL=SfT*uf^6SD{#iPm7RY+ zs*d9WPa3m#SkUGJA1*w1pd*QZPTo@lFvb0w`5wysmgf-%3Q!1=C*$D&8wNG-@I0gj znFl9&hBkg3N{SiMtZYqUcG`K0+|(H!iD%~tXltB;rMRd4J0aT4ROj?gTq{h_A10G* z*;n~#59=iZ^AcqaoH*NK^pQ!6f5*HOT!r8TKg4et( zwL8f=JH#DjB|H2M8!9K*n6ULD2u8=`I^LV7u-LcL<~}T+b?&Sz{xp_Qwrzn82S_Zt zxmUdj-f)6g^QMwn3oux{N7m2VT(=#B26cBXnU)P(KX?j^%HgeYZ#q%4PYai%zXOkB zgU(g?t46mIx0UX_093JASKC?&PtwTC@D@5~5>S1gJ83{1czVlwW$Az}-J!fIZVfST{Z!$ikA|<0D1JTKk6mlTHVZT6x>x zi#LZo-!GG15O}3xyaVbe!IBbHS}QN7r`;{~g?TP0NZq3%S^(e7FaY^C8FFxbua8~W z0dw$uI^SU{>MMZog8xNmOd;FSdvQhfXkzy7ak8*2t~8kpFjR4GH=i=$iLt59$l<-QIovnBlo^(s5L=Og-vS$oO=Ql%y^7>WCvARS!b!=z87gs{dqOB`UAeZJzZyF@x8_=9*_0 z_xp5i#q`x~_fVoE#zl*blxschR%&Ta_HxI&c_LSHbF_i{@uXTI=Kjsax3-&GY?1UW z&@o6>I?eqxz#NMR^HV7cgI06yb`3G2ROuOCWkxLyt>4Ld-`7Jqg-uF(2fDjPf}J6O>6!iog9St1%4{jnmE^8{k( zl3=UHS$;Vn-hki0u2p|i11j6wL%b&g4ng=3y?~?Qq}F?zHQe+`__DsNq25}U1`BrA zx9TA1`&5267kh@qQ|%!3$b8%4dtjkqzC^>sjYfLt;8MFl-4d&b4tsI>#GQc;-lgbz zksagut-L{)honUFlKWiw6JqQ5#D-mV7@li**I$rXt=&)*D;=xS1RkqJsqKBC%! zz1;Ikw4NP?O2s4D>9@9Mgo34xJbckPA{1eFunmBodz2vN`yFXzS%G^DavZQ1c#6pe6nx$5 z3H<{H=Mh{Txeg)(fr+t0pF42uh1NuPmma+xIGMMR9gh4(2I<-l9k7)h7y{U{{m22S zydLbE8tqLSx;TTo1BohT2wAnY&s8L#n2D>T(w^Ls} z9~LG59pBoHv3-O&Rc0quCPc#^TxUgF8g&p7u$-a+spR7;{?UtrTJ`le2>c` z4jo?NjOA(2El#=GL^k$b-G?Cgd2Z}us2;agI!}RI%PM z4vfh5`kBQ0kmWfG*=rL+JfOcj*A4c(UX%G-7oxImAO&!HgwBo6{t_R)_)C1k36#oQK6$&Gawctq5WK}_b961E zygPBr+x2oCe0GxUJx9N74s7}7sE*gN?`k;m^^5I08vY4<18-F4W|7)9 zO+xc8uU@}DHvPIh_M*Mujjw{={S3hgW*r67`JG1PmNEMRw}LJCbNS)4-L!PU2|;1! zVrREQm7}xyqQxEJXoGIzXkV$AeyH%jVO3MFyuF<=L0WKWLH!}YjjgMj%<;^-2Qind z3f35ON1xIc@njd9gj#45o9iiJnv_Gg&lBgxZ$_Zo+iVK*o)m>>-eSL&SV# z@IbdH^JWJD5rr*9Gb@>Au7|3SFf>v-K77o1=_u}1pr<7}^1i*UHS*UHfyLflFhc%s z!3c{vlk$F`7fvEsP2c!oELm(dhoX(5R=vg{!TjVTOT6HN`dY`Z4f%FU%LRi*<$dx5 zOa@=mFF&-u;y;PL|4{0l-%A!Y)s{F;i~EA@Z*1^9@H}um@P!^lrxp2)sM@*|FwB|= zt9BCTC&!v)Qp@&DDZWdLEpHEHcQqn^G|%YJQ+)YAdco?JcWiQeVN)=EFzNFX$dXP# zyW5_-ydf$I?Ag6ah3T# ztKo43a1FEgFs9mCg-lCIuMF7tGx@YCE7`<}E-^ATNN1Ki2(vmAj4z%y{7zGHpIQ@h zmOl6tg}K03pFn+h+VE8`w4r}Z%$ISMH3}0WKe$PV^=0CJ6`X5K-llI?mfq&N7-|9u zGn+BLCYSqHa+iTA$7gLU`Uf#wlO;gj{?IQ0oXqv`bF+oC=)W{8&5IMw%8$2%q~6J{ zq;3@kGp0fbo^dcHel84_Y8QI*PWJJp`R5;reG7$eHI_DX#;Hn=?C5uKCY>9x9UqmI ze_~J!1}*xjeSE^urAyoGErw8){=;^SFAoIq`%k!-bdmuX%$W8-CNJFp)wGHsiz2dK z5B#*|+K_)p;6B_w*74EB*7H4_{bAfmDsl787BaCKwMk3{ar2F4Z-DLj!!~&XslNyJ z9-A3|@2ApGOVP{xJv)03Ensr;;d6!>!umE?Zt&aSvgRL0I0npYhl2joedcg1s=CwB z)>%#G3m@Nmj)s&BU1|$c=#R}NEIok)?S%<|HO^n4a>+W~7j4J1J`>AZ_wglSqQWL< zR@`yPTn>=#DQQm%bAH5;V%^H;pE#(U^fq{AAeJ9sg_7PXmQ)!5>F8(^0yd7S+*!f; zEh^8lg0lw6)tdqM&>28LUAh)p|4-m8^?qipr*ZNy5Fn%eQx!f^_&S{r1Jl1TvIPC+ z;>_CkdiL|aXd3h$tuXst#h_+UDQtP+hjiHXlkDuSiPeEVjJq7>&p+K~d?)NqTpdXM zPtbqxPWbavH05#iNkwp_0D|;=o(1yfea(u8K^oy#ICl z+r%i&kPV#899eySe;5P4hS}$h^4r#K0a{!2@G--59C-&7Qs!9n;$hIT&pzgC{_bPZ zcC-mxZ(no)w@kz4$@QlMtF`%7nK4=a&&nipZT` zrr$UX(CsoB0+@#&M&2u_#%~IJxF*aey(bVqFg6b;<`p5q>oEqo@&|{EgR#@I3 z%&X7?`fIBP=wF*=c#i}(Pw~m)!jn7mE_W6^c3-yPdMCa%6S~7o;Z2JDGXj0N2hGMS z_K)wz#_QWH&FMR|zt3OF4w>%r34pnhzWuL_zsCxTW`Nh}b_3(O?=6K+c^`p6Du2MW@*5{K+%mj*c+ zjQZHhUMwPTDLQO>V|6v(47}xIO*+MA4tC9+9T)|Qy#j&xHLda*t@4F1V6?rqX{Avd zc2IoH0RYq7;<1)rBW>sklm89Ycd;V3{MKO0E+TyC*#FK>!$|)_(c(Q1m!0dCyuFkO z*J=T4wXMP3X3aF-5~zveV(HL-RJQMul@7I&hWYUlqFcYhTGSwGuH(|zA z6o63-Btorb-&1;Aj2(0tw06~Li&)`9+Who#x*Ha=7eqgWt?->PT^l6S=;dN}bG&}N z(tF<7c^MRRgpIvKNzn=t@&LPBA&-x5R#xDhXs1Ew>)6=8C@EYsN{3=5dOd0MRp`0& zf~C^vOQ1%M>3@`|q`-Ud#LUb2bfHEOS3A$Dc!kN+-jBEJ;me-h^X{fvqN7QjR9p{R z33e!f|A=3VFyG9-BZZ6Q7~v};Y>^p11)Xal*B$73<4Uhg2E78YLON%wAJprC#&8rwxZ3N{k-Nd6PBgYjg(rC>UitP)4`9e|4>4k zp_{H-gV3Rrtu)?YLF;s9ozkJO3D-&iHnpwp-DZt6q7tZq<3E)yPM#~-%r){87PHD+ zQw4vxrn{oPddZ+BjEtdPm!TD-Kxrm}-RoDakJJzc3!$_F@~`xr3{Ga&K&|>tL8uc5 z2-V~;=-Yr8*3`%jm=}YAYHF6)R96v&ew7XfEUw8NPN5&yO4# z-^P9&p3gR+PoA?QI~gNMdKpPvv|h6P3YWLuux=TfX;p>TG(?$UK%Ef+qSO20B!Kysh0;|s&Y z739y>0aRm|ZHaH0@?}nZj&7D`q|TLe3bnJOuZ{g>?Ha>+%}tbi%CEOUXPf6c(T|9| z0IM~(tT{lWQ_N&PSY+{HK+d_>UuE%ffVbraDBbX(LAxH|b0KVTCr9mbA^5yKoC=_! zgD&#qbo$u5@y-M#9|yTDnIh32`OrL-7dzV6sR#-_n6Ta{ynq|Fki)&+z;deKqP3o3 zgNHyR!ed@b+U17fEl9Ka%vO-P8RD9Z#dk#$Fc5 zvr*9(IHK)??Gta#;=E@kz9f6I%lXBa-mB6oGQ~5kR~macYL%A{TQF(-gsJSY>kz7t zCVx_-JojnK)glKfR0nWGe}n>M=Y#P+o?%15+Nwe5wEP6s;}$`_K||7U*Ec=_g({(Z zDuqLs(Ywtrik>jVQAa;3_WYqJSHcu`w_1rgC0{k}1p*xC@H^W-XGHRn3gYQj39uY^q4r8mJUSh6czB zm%S-^P8%EgL9FPxY9m?d!^o)7IM>WMAO|Ie{e?}{z>Y;rHRD1c4~U(q zmS>z;BJYcJ*8hgqmPx}Jua7MH##*Ho(1x+1I72!)k^V(2xx=%dmuS-@VxOU zv_UTs^L})r=!>L3B$IJP)+nL?%`|V4@wJ1B*(b%fl6e=VBmzvetc6)LMS+phWte8$ zg+QBS>R$)wtvuN{M+($Vx3eBR4+*@|$byO*^kE>Ch z8V|V;b8S_(0<~p;S93GC*%N-Tu@$wX17=gMIfqI1L!;zTE-q&$Io7Ca7zA=XHJ5SW zw=siWaQepS@M;;pHFX&krC?2|3vNYRox$d0F!fJYPWm4sPoeNRIHVxTFh;l4%LBGm zKnc=mZ2?g@x*|tlFiAKxs&0!?PC5;g94i2J5O@qfKL@E63G1MHf#GL+dG8JN6d0o_ z3SuRvmHTM+88>h*IF=vLL)*acQ|3==C%qtwlU&c^3nXj4+w={jKLfilykH%@NBPum zmCjRg`M|4o^b_AxNT{xo z{>Fg~3;tXhbw!wdxgK+~Lq9jBFju&mFHHRZqlYir<;ZNgg9Z!*J#;fFZkjg5T<^qUl#B7Eg^?7 zJ!?{9qR~|XxVUfSN+&bY-JhACWt6FS)ZGeY7Rm72o;_cF+q1oZ+vZReqe3qjH(Rh{ zQ~=!GGAcDQ?xIHBJhhmAulf421h~PCOJ@CyajT@-7NrEUww0Bm6r`lJ_C0~dL(>)E zc+5mE?Kenz8%f|{MHMo-O|R#PqP<>M7oEplQW z;L&5glqY|r9aAjz^7HM5-WlQuwaH+@>~^w`ob%|oTwvLYr*y7sBl z>4ze$iq@(*n~WC&4GK6Wr8za_`#j+szwZB@P<6sj-|RayV`^F{*z#b6Ur+6ogWJm5 z-ch?ZM-n*wXze{${my2`vP4O9;n321&NLOK4Vq0j_it_;PN;{S3h3%=_Cq}yhZE*J z_+h^b*sQywXf{L^YX%Y)?zee*pj@=U2)CP-rk+cM%53oU+1}Vxd0S7cUC)A{$-J;h zk1&sH10*oyVw!z~f|PC+z9H`3mipx=0sr8}F8fnK_4D{p-JbilKL|nLQY#K+UaVfv zm4EJhMA)QXIKrJ-)G)!GFa+d?rU2M!SaLQ9L9_oBiF-kXdIbLp_V@e+>JV9{)Tca+ zs=$UR?~(6UaxPo%XOc$o!szH)B9e(HMR>+1WhdmjoWGqyUE3+jyR-;^lt4cljxjxkd1 zJM@v?T9$wo`7#Up_6lX@Iqkq5;D8CY1M;A84xs)J+4plwGRK+?RA)$W-oU+;2%B1K ztx~l8HL%)~oP;aSuPtiiUM6+D^O8IqaIP`P~btJ zW#Bo%!St8T9~1&5dBTkUd?tC14x|d)~M{F7reb7D)IyN2Og2FOr(fyLX1W zTPNCDyFheX!Q|Y%G&eKr6vuOIvtpi~Gf*z5;o&N*1CU0#rzj&OW%CUJIvrb&T7ncT zfu!LQ%1j8?<`c)8s%FsrRIAdjyk0O9E5xaswNRt=lV7BRyblpG7ZL=-OF9ZiAo?40 zR|eHH-JMbtIs(aMmD+YJSX$%Z4l9nV%{6StWiE%r`gXoy4%&HK;71quH?U5=@l$eG z%k1Yux1{{}W)3~JioehSGv|0VChNG*JrM&5pWExK_@?Q5&hDZo{DeXS+Yf!RbJ^!_ zG0|N2j{>ImO~jj+pW5~gmz{Xu9h3E7qq_sYa82(eelz5-6L-4RJQhhbl~Flrm(|L) z!#0`aEXG1NaUh9%!k#l$7zym0y?PI*CC!ldjRx;AJB{QzpPoeIuauwRWZ%MkCb%*v z_d#qRkwuWm&DAvw3`P!3L`h$y%jtb@dVvp%eQ#I~f< zdR7*8&{?0+CZYrOxH&y3vwpiKNe}BAL!HMJZ0WjQeffn)>3Mx#>4CU>Zi{(+ej*aE za*t9B`5Pp15~Xk$r2vD_%!55VG?9q2jD+W$PY#k!#T5?B&7}p(3nsM`F3e+gr|8nM zQIK*4T^(u%xLbE~;ABx+&ycx&+U!x<6hdI4Lu@lAlra17^y!A#aBgeeEAeUk>xbqi zR2g`Xa}&Jz6yD#`;{YmB9orjeOVKX3Yx#8^lYT8QnwSJ?1m&-y2YtyuQbvVbXikd5 z!!4XBWIh*IjMwXj76ph1996=Fl{z-ach*mlNPAhpM$DZ(YC137pa7K`pUi33ew+k!HWbTVf3(?0a17Zc5Qhdx3AeEKJ&R9c07ZBf( z`&%iI;N#;MF&TYN1_7Sgio^1>iRjSo1sRk17NZ`sK~PEoaWRj4@dd-#;qu^{q7>TL z$DcZMj6oebQ6RDNihC2CRp(g6;+8aX_dyDbYU;ZVJo*Jk<*4E3ALxsRug|vHz^&$R z%<&7N!UHx%%j6q1%jDRyP)^GzJp6+X2HD|wZ`||QN75N(Yd$~5KS=5jXMD%Vto2y9 z#zL;JRz9m@i0yNlcZIyD2K@^y<1%FiEn^xR%{OZ;Jolzl`9mI9y~)!L%rKx>{8Z7V zMWjL!pBETtT$H7+Y4$}Uo`fX+L0llCNBfhM2kwlHZ}J+mZX1$Sr|+BCz_bj%QZTVQ zqvT@_L$(c?8ujaIdo65~E*1(j4`n~SLLD5V_Et~{ov7g;)KL)1ItWFX-bC>S$kLns z2N0~AXlZE!2|%{MulT`k?qE1<&%Wh^1rK>5xz$OOfvxKy;&B3d@!JdM3+Pb|<^5Ug zdk5$~7k;AfmBzne6=V&TLTuYT%;M%>XS$%G19OhzJ7{`)*Yl9@~i;mB?JQ0^XNOy8n zOE@YW0=_(1>P#qGYwN!%kNm>2k-P$jln)Ci5*4C3`T)cwS_Ls&N-+3pi$ah7`Xp^z z!h!Y&PFhIUYkEPJ5*3x_1Y=eH*=4tYkeBbr3)R7lHPzJTWMP)gHxAIh5qx%^Zmg=q z=%ZSqY=6~ApcIzP=~z{u@w%mk#9|<9f#q$=K+?^yGWYu|PBs|^XqEpz$*#eJ;xOYq za+Q$z=2uvzUCsMOTgLQ)Y$Ylg8=J-$wKrBe@ru+}y+i#>#wD_w;65R_Y|lKr1Ln9d zx<%q}X<{YczV~X3c?`t4d0#sQ`{kW<)J#!<9|Mo9GY6%4t84u$l9I}3jkL-J=Pk;V zyxu+?AZ{oWS0o0pR?@LJ&C zFkOf?&n$cKyoHhWwS+{NsZqirx2*cb1HPslS1B&@2I~fFnh=Gg7N$|rXc+K^xKal# z0wv#7?7!tEhrOK8ep$3hE#=NSDuI9o`ELS@275WWw@CdKDPV-^qN#RCbg_jq8PKe%%RH;ZJ&`F4E$p~*RDGwS zk1!|!4(0Vg4Oa_vk%rYZ36WQOXW_XYp$f2GPPeRyI>74uVT}Mb%5$HbCCii@-$`sr zkIo~~`4QHD|L_S`yHCBYs^QRvEk44m8 z%wa+}146uz;xoCFVJ*ex9#V9 zivn*^=q-x$TXH);{n`em#D6rFBGfr!A}_hG1=tc^ayp-{SiV?mUhD2e<03b0c5%_m zr->lK#vX7Pb2&NwDJx0BMnRFtB#Lb=oBQ~;A0_^|w*Ctmu|$jA7@@qJ_IFhBJ74N1 zKnf6N8%G;^8|UNwQKT@gw)6m-wB74borj47c_q(#9Qg}6S6%4=p&XKmY* zs7JfHgwN&cslK>ty2CvOtBdiT4&g+*+0847wWr(nNMRbB_6sAU7Z8mp4&Jtk>f0BWBd>yN;VUy+m6lT7( z)j#Lqn#RTk-EeJ9723xg*z9jiKs0ePmrJXpe?N!jPr8w}JO7Api~242iMP^xF4=FY zA2xPev}UX<-C`kKlpx1=Ud5QF!;?4OpC9Ws6RX6wr53yDy{@Cs=zu*1~(qE6O zylZgCco_MW+n5-~R*EC2Y~wJLQ5EYlIa)?PUOQIT;iJq$_SaCBpFI(62c=P{`n2;C zR9&?Q5{yW%%K;&moX#L9$=v-ThS)%eqpMU_z>SAo)+bLkHYT$2{BJ^`%0VR@4uJRy z5KE~;6@y9`OM*MbR?PaCvZozx@AiZI+q;|CPyRiZmvZov@7YQ*w_y3lYUsa{CK0b6 zK>gm~6|?K6_nuhlkT&L!;fB!WEt_-0A8!1G)G_Hu{a#m~06jjuKsPSfwn2cWsVk&& z;zWyD;0aL+%5ZQ-rP&5?y0&5H3U_gbU!NX~p}blU@U=FShW+NU2;kT{=gojDLHCwW zmuvmjZ6coF5D*F^5*Z`7KDPu$Ui6RJTBi|S@DI6^gN77C8k4?KgbM7e6vt4E3hd~Y z$54<6?yOYDP#6d{W-U1C2F_1OW{ez)NIV^f`1)K2b0|`(Kith37WE7#DU32gJ3q0? z6k!4e!}7JCVMnGtW0&RSpf>PL_9hGB^PC~~NKpFZD;x)eP3C6Hiq0@7&$_2zj1omj zS^b#|Ywu#3e`^5BfT)sUqoU^ZgAdolb=WA`c@bc{)^f5*w2zI`GeF zu*h_Vr;XP|JK!9gqNi*|xLmZeIaY3jggwI|Vvc1caC$FihJjw%O}Ao7;TM5)|wDHdXmKe*tnZ8gLFGFJ(5*q`-N& zt8bV|q5W{zibD}w1?}91M-kgiPR@mr`ghTWxTNte94Btp{oFHH8ZKm>tuDhBpU$$t zvheB?OKsIo3iiZUsYO^Ei-}3##~DIqt(Rl~r4Hd3?<1t>!?Jfi-dvt&5A*ws&QaPr zBw4jdcpAijwkVU?kEGp;d&ryJX-2HpYVX z$!^8Lew!P~5hHU*R2IkhbXcJq0=m?nU5#}v`t}dv_!pVE+SND1$_JQqliXV)pVTJb zG*B77;PF*XopPmC!CFK@U}G(E3VYbs;gmVa zlyEDg+6~<3CdIx_eMm?bLO33oDBUX2!C!06v8$JTE#QTpeUqk+&b2c2D`86#OVwyH zuM>r48eQ)paMlpr;*lJlf-!g!gb|ZiDw$DtY+52@d7wbLSzK`0=IeQL=JsV`_9OSg zvspnVePbEs-6U~T|LF~6F5g*dwGMIO$A+SYU%L(bQto5K>&FzPedf0f`?Rz)=iGw4 zzO>$(a|z0w=Etf+ot{hX#e9RuP0i&e?9k1kSA<;LwaE6olk#OsH|O2Yw~@&Z-Ha!D zZXy*rclat6jj>CK?qJ<+7DFX5gRYKM{N?hKK`VwSaBollFh5~)k&bk3O8tyO@)a20 zQuL6mfx6J(Cl1dr@RWxL*mMZTH65~4l z8C$MM7)vFMkp`vU<(#L7X|>VGLIHLuctKA96V|Qyi)~2E%a$;@e28&W^Zg;aYK=7e zP9yXY-4gPAZp)N_=?orhdah7z=lKDeKslX>O&jn;QeLwel=Kjrmhx`(D z%A-q7FYr#@p?Qr{H+`$$vo*CkW}AmLozi-z-F1GolmOHci z1YS_>zBiUnQrwKi_?y5xCTJ|cEcP{1l&VokKaHI;%CprXuis$>*KgR;chNo5O5i@4CiE@V%)-K$LoHE6A0Q|jvqig+Bwrq!kJ>%~ps8~tRGeORi; zsrMlIF7wwX8a_rpi;vuxqwkiq;$;;^odwnLq=|1H2S?KS?OJ*Iw^&o^2b+C4QpZ2= zw8?I1ldriv2)W<`T*6#*vupk0?yr&y<1D)xLO#w&?5Ezc>$hxa2Ec{`Ih|Dzm8RJX zNz7$!vrje;_qd|a_D&&%9|`XpkRw##`e$qDos{~+7f}_%n2|W1f|(EP)cF$BwIVA} zun&^azv6ivUO!A6b!CsZ`zj56^!d+GSI-#9Z#{S7hYFm7uq7nwf)lq3}i&0COsX=qZI*mIkaauGwhIc-^PHN439!+qr z$AMFl&OyRt;1iQ_rqdXA#4TX~yi@oK>}WcFCH?hG+zxeOl{C*A*SHom*wG{@_!Fjqm+2L6H+{YY{@ROZWf8C7ZIeg?RQ=N3$!T#!<4&N3+W3+j;>g^?w zpV?se@{EJND-Toyfz_rvT!*~j6cn9BSJ?Q;8gtS9R$a5_D|Yt1LU+_h#R>$j_Zcot zvQ%QpFmgBs+rYkOzVJs*_Uk_8lcL#-!O{57)isjV4hrQ=l~28@njkwZo_AzwvGwLI z?lxz6dPig)_& z=sW#MGl#qaW=i@49(Has7BL7N&r zxooufkY4f&&Ih3v*)F2uC*HxUR_-=d`0T<%mv0?AVV83GTJ$mw0Pl2j-~6)MDfLpt(Lf9(7kohmVEZ#>zpBK*}cYiri$AXUkV?RuFc$f zhuvihl#g~*{Om^=zd8#%xREb-Qw)SyQjc$&2){^TE4M@$u<-VfuQjU9Ev|_5!!XF_ zDCa2NDD^0!sCQJ)!o--K1*kCfd{+toRP?+_iNh(+U|+g>TJDKV!7tJp|IEgcHIMJ! zkIbIRuavhlD)M|mi-_!CksTY{Os!Dfi(WAboIT{(sz|%VJ!#h$0IkCy+gW%s*!0-{ z>AjAJclG^7)yV&A1=5OE)|_0Hwyd)g81JBZZE5ZI1T6vOi~4Eb*_`QvHf&8M?n4A z^j1Bid#hHPDf$%;axbD#EvhM1GS$o*t&+|&j+5?Zs%24*Dpsk{Df-d^#An@9fLQu@ zAz(gsKLC;1@f^Uy6iEW%Tc8qPSb*K*}0s>B|=7rODC6EW^@(l&ty%TKGKQl6@h%n^Uk+Vt#khJAaG`}LIb5; z3TCZ4GUd@J0w*81Cr7B8!P8CF;;645##snux#{{uN6^OtoY7kCngGL$i&$@u`5t*L zX}pGL2Gg|jnyZ^|#ZeD*+*5BUV&dH-vNJC5+MQciws=QhNe(;4%q>x6tTQ*6VsdGz zMnzc{COju^iILv+c`hy=3iNS{C`B^bEIw(mx{3JZt)=QThPL+jWz4up!tvPUf~5i> zG3l?gS1WpVH!f>G3k4kbz-XVGqCpQ=`I?*bz?VXNPhXu^1?K5Y9*^%lO#iX{Q#pvj zWfzI2><75Pw>+}fx@8(&7`OB|DiV}Re4n~A)-p~1#lDrsUw%dAKB0o3z^p*C6!|6Az0qtaDz_LBKdsR`@%oftU6dlMnvN zb)%U#_R`)jE0pVYqb?KpH}=Pu1iL5YX96>o2Uu$)Mpx_ts?eVbo!9%&w;i|~_M<6y zX6yBY__#Vpym7qLb(y{#EUwRYPofzH{o(f$Wy8r-NI%+M7G-r zakDkmNWk9u1Dl~X%2?gZw#Bh`-jv@mUeq?T%2c*6Jlz#>a!HeGnBae->E9r9vyM2! zCsya>ou-Rz=gE3fhf!d{%fLM;XI5GbO&~kwi7H58th9Pn`EWLbCp+#7RkDCbrjq?v zqhckge5N$tw*?pOwOt>JUWUd=XKVZm`8ZS4^^x(OZY7zo(dJo1y#7{wlx{{VsHcMR zATA;^W2vnfvF%y?b!o{92|L}}8wNGSyhNVeM4?*SJocz%Yr@z^SUbcEOdMy|KK<6? zXY~?!Sf_A_r?7~EUC%*IWSCIrL6_!FFar!U7zjGWvSPRe(_Sr^g5@@*tA5>!q{=$w zxu84^yzZSM82w+2y#-iR&+{-Y0vDuFx2B~+mu@5lr8}j&;Zo8_d+F{D z5orXZ6%c&)`uYC)_rCxC^UR%{opa9K+1Z-evuF41(UHFgq21vTj3id|ISl2VIZ5mh zVU4r8kJ$drQ1S9t8gjYTw3Ge(o6DDf`bdqk-nshRP8?jm{8>yZ9(jD0zj^uc`)SM- zE8f&ghwNT`nk$KSLoc4~IC$=0C}&syF{SjSr7ghrx$=1D?Rj~%z8wSY@VUMuad;Mu z$A7s015bb|-{t!BUHNG?)l=*V+JLRq<*MH+xZhV-s%}j(*ndOr6Ir{^Mh;AnskJN;>D&BxsjRtytW~h}YQ^ei6C!pRdP;N$)(bU$mE4 z5Dx#Ak0|o${H%U%{PnTPrRT!8ZS#oi6qEQY7{a2qgDKUb&v1uI~>A;XsZi?Dy`0F z!o#KH*Sl|-MyXMUem!wET#rANyP%KRZJaCS;<_+F_??4a)AJVcM?v35TF2Dpr}ME~ z61RhkcX*D7A|PAtwK9BMKk%fjH=B&#HELy1CJnlDsd?J#u#Dl#10GyVPyv_cDL#0q zGw9L--o(;qgN%-v&VDwMGi0<$snyWGWlK0U_y;S{)7G{5^Nx^Q8Yx*-F5IhHNIquC z#BvOB9(k5K+q!Jyey_gQ^mR*FLWLxvbsST%RqzSZ*R*$%aydP{5bu;dg72`PrlYB@ zX}`6BCoTe^k6n5yxx~O%%R%SQy`Y$}%_iC@__1zQmBy|PEqskl3|F69MVskR%|PD? zP+)X7CN=wN4Owp2!&GF4|F_R4r*k{a=SZP8<&2d~rOs3jhQrhoXCA&Xdlymgx z;T_ugu^RgNmO>wM_l#f7bj6p3Flpk;m(nBwTR>kFIGww*qXq65<~A0RM8T+eTvT8> z{V->-;raQfZCk*HdO0Pvbp$3&=BTI$q@j6|eMb}RJ4Q2lo7#7bD-(snS^Tq}6uAo8 zod|AV0RYge{l9{fR$sUroam6A(N+gQJ$+M;KN-6YzA-_vz!pD zNPw&6fXC=djHhyw?yT^2<`ji(n+vz?o@P)JzgQI)SN%V&*GNFuc-*=aqgG7h0J(-XxNG>|flSyXt5=vcz&v~@OVoVOG zQ{>n(Gkn~oMPaJ^ijk#OCbaK#`4w9|_6yBs_`vJ@t8G3@u<@+ZN7776&->mJ+Xn6H z!l$$;d2_lwt@!J^*gVINQw>j1G8%}6UyXkLKze)Yw&&z#`w3I;ZXAQloLn8@fz%Wg zPb`hgeKx^zGNJQvNHNXg*Mzrdd@tjtX=z3F%wJ_Hi#MrH7#FJZ?PN1tO^opkl=HWQWkM&E1&&T;$xiesc=M)(trUB}=U~y0>pU`Fjt`fVQ zW7Z=#>lJUM9MMdF_hOSExgM;Rt**{unbc`Sq14=Jje!Xkq9Ml0Xd)otVrR3 zJy0g-@gE|xsBKmXjN$2gY;QgU7uru&O)F9)HNWbb%HccW3&a&e-}GxsSVPAHW{IB{ zl(Uc|3A_W{8zM#8B>q!J8|~D*U(phOx2g?{vHn}o)CaeKfns6FJ{ky2O=D7cE3%D}7jiCPHa>#FuQYT(n~_Z$A&4x1Kj46Afd%5m1g_cEF@|U3B-`s3 zY))nQYaqE_XuK*C6?X^(t_{J3wft-=+XPxMHP_u!Oz_Nbd<~YTD)3*=3%{Wz{*8gl(L^2UM0;>xvBF2M&5umD3l3 z6;{Avr3~Jd{DG%*8Uo2Zct7s;+_J@03j>fMb+GT$84s^~hOvr8x3e*;o zlIugGKU?dDQE{(+*bx2(m)%7hxD(C6NlmQvxjIA3iF%E5Jnq2m2NytV`+tyz{>bH+ z@{XXfn*0_e2_mt@E3qAX$ePYGI#@JZR|AhBEn+%>6ux_ya2w}1`BIYI+g)TgUuEM8 z7+VX08TJHfcQZTrgnDW*-l`QzzG+bxAl@vx z)-}{Y<$@tuYQ=tq<>tyT>z_(Zi=WkDf=3A$ZerT_5F@cH=X$^5VS6a&l>sBU#~?Qb z=)z~xK;?5`vV_VFFfV>?O`q!U%U)K*Z3ugkht)1*5l*9i(mk$jV#&{K8%stak8}Mf zSny%+Mp$lfx6xpa{Htu`{lW|jUQ}=91-}R0%;&Bp!EFh8j+Gu1(V~g|mW5M}%e#D3 z?jpE-pv5`m3NR#l^B2^X%+Sf85!F*{d{CL*OE_M}b7ixB6V8n*T>)YCqwG+fW+AXq z4WfTDo~LClD_vKjNq&tPp@%xf>yyDFUE%M8!v}Cgqk{W09-fZ1nl-o|>a)xE-8l4G zKi~p9#$}sd9SK6^CBlu2ZzkV@B&o@DsmWQTP_O}*mmdfU@F(Tmq%r{tutt;^gC-YA z@t0hKcVO5P4vjN6yY6)uhjVN?^^;&r_bxJfy>vJVW!i+{7U3%8mPlN0+p_sv6(dnD zW>KaRf)B1s|Cy**LM{hU_qn1{T)@98!ahz^Qkvh<7_f0H-QX9}JfWDj((w}+ z;zUMEE~WgGJ4vqfp%wiPXTlZK{%a68DoHMHyTf+&(B_5SINnT74mCl~HiVyfokur7 z`KoqHUxyz15vy64TV9kUlU}Ipy9{}^%tdd0QaE|?BM5H94}P{ViLQ7u4XU+H<5xHo zN!>aBDFE6s83*o?s8P@4F-u~!Hr%yUu5ewGh5Dn~u1BI=UztYmc+hDa#2nL*ix#dB zJl<)B$^Ne((szCGCfJHS`A~59huf}iS8K~oB61z2?`%(NhfVWp)lYZDpOnGUDW8cT z2Nri-A|DhR&1BG;6+;_D__@wtAXF0I`f(xfYX3)(;{C2iVa6Z2t6rJRKjb!1F|n?K z0^ls<(A5x(MbxP+f8U~y$PCet!Im6W36#eLP^kY*)YXj_&V%;rX+wtG+U zE8jzUCP(gRg%>2exXi=nIs;bU#nhZP<(NKJYB(Rs75-X)Rj6x|dpI}wJ;tE+aNhQN zyiMz|$AT(vTyM{Gtoy>A1XMX0CtTRN!En$o}3+5QmP6`wJqw&k|vANE=0 zBhY$8{6RfElVI|@p=`Ij|2;$5g0VC2p?W~XUV7+rtls28|{~0=Yj&?dW*h18ILkqEybBDEY0 zH9s0zbT2yM9Y2l#8M!U2=uj;av_6-q_);=MS?`svy z`~)w}`{Ty%IZcEjLR?vnnr_Qck|dVc40SgIm)!SIjSc6O+Q8-G7uz&_Xiigao*^W{aTDzGBCE(W=wB zdnhRC+;qJi2=+?OcgiylRxdH%V?$b>n3uGFwxS=e92a(aypJ-qcwdNM~2g0e%?l3v$W4Yl!>frQg4?=yJ+?`m7Z6YCYSAP zVzn9M2C+VDmFy&5G$16k;Eu&3?euHb>H$c)ZPh@Lz{2#S^hGoEbX)5Wigq<{ zMS=Q%n5?!nosa%ObuE%Dk6%iyKHj-T^U2Qn@nP?};OZ&7UAgqXk$nEM$qs+44WW>> zLfo??f$@&I_v)%|sJ6bKv;;ii?vM(7;-t#NQQ9q?_^ISRsphvlIRlNb2o@xBuTI`d zmVAvO*MYqUBQTUU`|HhPk3aXmyz~EA{3_b4?^AVhu5{?zQzOU|`roiPS5C%U0!8+Z zxik5L7gQ$1^|s&MOU?P|!JMC4kiZW4;Z~X z%s5>U-fpQqbG3-u7-bm9l#UmTc<4^@>X0pd`DI)|{1IWj$(BI)bhx(Ou^cOp%i>U^ zWazE#s0I-{dn@B*+?Ca677;v97+<~M{$VKGJ5>a0;;<$E`f#URbh;))FWT(I<mx zKwu?qt_|k{6Z+Pdg->`L=vkywJFr#PVTRA+C}&hzE)yWN0hNK?D45j@%;~oqe%6zqrqRx>g3*^74%iw-fqCG zJ2BKAJdI5|@*8%Cw+UQL$UIxzc}aO=nNMqXmLKI?Hxcs>Q)lTl{A1#k9y}v)P`4?q zs%E2g(vo#5CULiK@Qjh#C@#&^{HmJgMkm#`T;Z8k5iPj3pAkOqRX}U=>e(aC*F4E6 zQ(p)ayp6dIf)I72kSWYsGX{*JHvah;t|{2rSE!{6hoRpZc@qI7*kYrGg6u5b1`irx zJg&*%3KoBtlo=SJ@Hz__O8-gItt1FoYijy}Q`FvCrOl}jPkMDC88e2ECCm^jrf*g|KXoWw0S=-)_=vkYwU zZOO5wahLy3aug@lB6xx~;2^d6*_5Qk;<18F_U;1(|g}P>9+bpaB8xg{2HTZU4d&v(SMD6;X6WOHVR>!52fr`Eh!lB5hrS&V55v` zoam;cHqLLcbuEpmY@Cn~Y(AE;Se<7Qh}NTgSrJujVY^dZ?@!fgxx-I24>6#mHv~06$1hpKRsoS((bT%*k zLcZc2jUxIoJac`(NG5YPZU{aPQAj6Ikj5;^gSd>%<8+-JXrQ60Un);ehUNa~&YK9E zIO?|aFyRy9rpO}0RgMhS^;Itr=fQRkpXZS3dXT>scD&K;5pOOgZ7crm)$BP~s+h68 zrEnKVDfaX}*FlWD(%a8pKMB{~4fwDnhC5srqtsmWt)+mH*|hBm^*tQ0G7?WoM*DHT zxLbD1+C46Dwb1^ou2_P%%CuOes;0a3 zJ=LhqW7lkME5_O+)D~4N^F%3ReU6c6%-$7r4`rF#7=le7$_n3QHBpbl+Bhl;t#GGs zh!Udn$hn$SV?Zb{;9*L{gp$#riL+jH5S*!8+=Nz1j@M2v)qY|@vzs;gfUPb$4l|3A zAB7_bP+WrXf{Yc!+o0^(KR?vQrpNtW;PUL!?nYbj6jTU&74)tm>o8$q7RED-|z?)y-(e4PQ}{n zk0inI8rUbp@fxi*B0or47bn~3=cXO*N8BbcJ_C8XVek8sn%X8#C_1slwlvI!T>`a~ zPf|h9>654;a?059{Uv_t%4*8!!=Yxiv5Se(x1i}AC46u1&?R{5eA z-BWHn0kr}^%*>*~8)#(pI|#%N)%-|&=QGbqVeHZPaW_5ep>Jzu=)I^0H8Q!L+1q>{cmxAA0u87IL1v)e`?bQEPa zIEK-tYdEfcZKr>+>W!IQiBOFI8kH_3OZ0Gk6D}_X4Cia}N{R*Ij_eM>%sc`Scqp*9bds1U*H70` z38g>h#Hojs3^E+~!<8<+v3wc{Z6KS-3-KqP$mJV=oa(+%hg7>3`Dwg8-D0&1% z)dNRK6r(sZU~2TJ-GyLYSYw|`#VuM&G~mEUvSyFKwuv_ZODYYQ4{c(TRZHu)VHOR?*!9W&i!OD=A(kDP9#RUKc6eEGgbDDc*0= zXl7%A)8xG#(rCToWQtEia5PC00_yv{*y#aB>Gg@)MdaGnXL35AZyKUZ~eGStq3Ud+0M|)@4tQkmjDL?ZY*J^mprx!J^h;%S?-d#sSl+KN=yPef^ zb!NABho<_+;Jo`eVdrlqCdqIw^I4Z7(ac>X3%6Qc!)=8*;ac9T-A9|h=;*C)kMDk? zTRiTKhzzh~qvn*t%d4(y^B{JvN#T;T(R=vHy|O zYb>bJnYohZ@)7TK7wg6Pqc>JXSC=*I%iT#`D0nYXs0fH_Rk$68hjg@Q?Z%%PJff83 zBT3NxNFY~i%~A|bbLn8&u2dNP1WQ3xFUBpJ5KFtha23z!xV@mPl zC|1&~y{is3@p31!(jH=Tm+BFbvOQYGy=`%3(-%&vpc>NZjZZXP7_7G4)X^HfCCt0k zSNcPEcM0Z0gwx=7sxC-6$ozopE!|@%6daH>>W83pamIvgKUc;_s!?scZGF{B2A^+R-|n2ePxSmeC8wpY-x^W9#X^9h{}wH@{9&sDg!}01SQ5^ zRM%xMUERWC>lT3qUl*RVk0H(iQ&GHmez`-iw`ecw_`lHL1jK(AEec7rFOl8UlMm4< z2-cIgR6*icRV4nT7AAC2VE8#wAcdxI)!#}Cv&e}trJ7|bALkEL2CwL4*{c|>4>X(O zVD&xJE5W7$>S3?NnsD5t>vo5C%rK{;TcSUn#{O3N{#NM$R#!$BBiP(t zCPqouY@h3>5p`{dNTrnI%{+mWy@x3_wbks`GL^Pqas9j?$<$xK%Z=-NklT}5=Lb*& zK*INth{R9gRG0!e6kSrqf{|1RF)jXpdM>%BQ!b?IgJKBX|$@PXyN0_YL8U{x02El z8C)N!*AMQYr(h8%pyL){-GglF*;$y#_LoyA;1c3QCxq4W;OVF81xPd~RD$uOXbxz` zEp)^c32OJ$1MyU?ji-{?n?!LGC`lE9FpD}N>V;@7W137D>ZWW>TPQ_x_h1{(`S4&H z?_WT8oj)T$*2h02*SHnRdatT!eI&9{HX6K2WQf9&*F~rmpl};Kr1K|sF1&-I)nEFI ztQ?A!fH?BeRlMu6icyxvqQ#{%qjA?CQCf7rD#0X!K_^kO)sc`vr`}dX3#}}Tx`R!K zV|j#9F^E{<6)#zNtUf*h8npZt6T+H74h=wH!Co12%L86W%`+K7S3zGqlYyW3O|QL~ zy_}IR$`({9<2~U0+*jht}OT)%%TMZHdWn&EEz@~a1=3ar16unC6>)X zt28S0feM6nwkP?)&>i4gX@rc5nblK*0euZo@B@62K}Dl*)t-=P60V@rd88r`!OWwI zc|S!>nagrMA=+P}tB8mgheDvR0$SvAMx?HW4H|rLikcA@BZhcS0s%;GhZ$z{01WlY z1DDgbgFFXnXv%9u_G?lOYp#xK1>9fi7&@W-ue#NWC~BpOj=*+v>5`KsSV{<}g!6XV$Skr&hin?@~@obr<~^e&uPq~mWW5{)%T^70qTDuJytySP`fLn|Rw~JC9*I_Y zlGqMF0`D4Oj$B96y|zROu^d>-qweqi{pAxpleJICu!K^D29UlenOU;lx)&_v~U6leav{#@nQE+>}TXIHumTywTf z($VvlVMFUR3^jZX;fPptGxUisYHFcOY~d!$;1T5^Yh`cYA(M1)gPB+Q3|rScQ!e`E^zyOL)V)zxf8InU zar!-lO|HjCtinid9sMA4SIUB?Pbg)I#-!rT)v$XvB4_NaJk_vRKvSEy>ar~y2hzc% z&k_L7N;)9{+Ee#Hk8^7-jI0Q+n_@S2)hx)gXI?L3&{>1+NeX@#`;w8%J-<;d5!WEF zO&4BQFPu*p$j7$*GJIacwm}dMgB2j>3e-{|tdp~xOgK*_XZy-Sfk~S(aY?-{MTsmpnAMDEq9m-c6%8wijFy=q$ zRXHgduMc+ho`+SGn+)IIG{FF)8Hut#7=*YV0WwJpq!&S6O~Pxk8NdIqa^bweiKg){ zkS0C$uNI0~`cDv1)TslbA;szCsn3LRX^obBhL&f1@n!I1y#rTUzcU2n zEI?C>59#Zc4?nGgT4pbVkl-BfUXT0d`jkzaTA$foEJFgaMzWSb3G6NGHvv`V{40t_ z3>&ipT}}vA?Y00&=|#|j3vyiA=@M_`KBf03fIX1oa;FXC*9XIpPHjCuS)gI$1c@nM z?FjOA8D4#Bq)Pc}3;!)DXb<yRx8oz$(iw{7 zW@jK0B&zYZr4#KZ-?;0H-sJZg&tSU0G?-!D4}F-;>WM$KPROtqGCDKJ276-iT~%j9 zE|{T#rstZ?6d7$DqX?!W`eBI9NXm^^_SrdZioO?2lg*k61!NMEEK3~}vQ3-v1M-pi zxmY3HXUq)NJ)i@bugmL58xKt&eGODmaP<#zoFNoygvzn0FuQ#~gG|k$|7TEV{{f?+ zRH&x{Fx-#Aj=}5vd77@15c z$WAoD*7+#(Y8h&M6ZO&E{%xDf`u9xA*FTnjd2RQ;MxFl!x$YI5Z#;ptFwE%jY1We{ z)RW-o@p6`9sDJ+#1P~8ne+T)g@&$FF!of%xAGYziAIu#fGNQK~ZN)-N*M+Gl$JRp4 zA^p~)YnTw-5n(C>U74q zC(8v@2qeuYbBL1N;<|uShRGGE=m6ZVmoThHkI)O-&A)N4!j zT6%7>a&v))kbwH(;BIJD(n2g5=wED{UVQ7t4O{ytRYfBB(0)1g(?1N#zY#KEOa8sv ze}RJvbOTF4NHeme!{f)<43*-duQbiEi)zr-7yRM22#p3H>sf-(#c01@ z*8SoCiE3&RXo{x^t(0|}UfOKZR3v)WX&p`sP8|^CUKWMk?sKW93=vIaQ|Dp1q%wGM zAtM0@gQ8FxAg)VwXnRd44W2yOKNN`kJJ0}OYeMmW8Fl^{TIH{cj{{-d@cO!JcK9fY zS0OCN@bJ<3<2cEdWyQU*r$KH_>3nHe2Wcw>h#bS(bUv~=8@CUT0JjnQN$8PNZMrLe z%PXX@A+=$zhU^qDYtdPO*17v^5qd7zv$g_F0#{_%m~p0vxsG|ykG zg#S<=^6%iuM?A{|{<|s(o{I6W+o9H5z`#!r>w&Fs0wJpB%dgSFCOK?bYHfcS-}R~z ziUrnAu`M(Ehd8=5J0l?t8y}SWu#(G+1fhP+f)$4_C~|Jmk#mSdO6Hbz02l^prwpuB zx@S$@(!S$_f1QO5S%Oh&`H%g-V0BnE0C6)y#ZT5;oth6uN=HmVmua9eyfs)fvN}p2 zz#ZU=`W3F1H-A2V92vFaO_*MJE_Am#1O#Ndb)tDt_xvTeO{ttRNYxza1Z@V~{h z3q5?QGt~N!8fTV=^A*s{u>2$f%HIC4?SyIfNSi??g7ekKx39c5Y3(rv8a}$6sEkqhx@VaG=%)b#Zom(g%TjpwZ?%El|&1V&ito$cp zEf5I_2p$QZfR0x!a8Uryky0i=q6^k#3B>2O1y_MW61V^pv~{_SU{%0=d9QYa1r(1{ zpKUGhuZD{zfXMwe0#T5FR@js!*MA~`3SfW_dU$)}mH!d#i-;iS)Y|ndV1fee~&WG8xpoJCMC5{aui%ZuIJ>A`kV9dO%G^YOq1=E zXNuZTxy_E=@Z*WvY;#bCWY)WUjOrR)Y+CO%E{=!%WS=c1wWte_4oFhB7)g3d_N&PVPLmfBP2s+gVP<;sB2!h)ctvH^$ReS< zN_0Om{*7BmrfSXNVHL03>@u~R%z#N!7e4dH@O*7T4!lY{d%Q!uEb3Mvk2gnKIoL!! zw!tE0o6}uukKIKnx2d{~E!H!_g!XMSP68PZWELB?5@pH2bZp7FziUuytlx61Tp!%Vx*W!_Vj zV%*pQaep7F;k7R+fIPw#A3|eKrY2&S5~&^H$B-6{^ZXVBzQ$*4{$tACG23=?etdF! zd~vV@UXFWVx?%19MsDG#^>ZR7`5A5YBIj_-KIzuW%OJA*@{gJL);)Lg0%2mD)CuE} z+lAiu(~etRl_b~e7x;1cjnb*7RMzX2o6Re~itLN%Rc`EQb8gl%y-@tH-iX1@uV^&L zsu0|)qHAQvGaWQ|BJv@ZLt_gY1+PUV^&`5_zNC3O)z>QQ#LMvaKs%RtNW5uC`63g2 zh*B_E1Uu=cJ+cxxtdi}q>F`*+xM>?*WJ(S8;z@U#*A;btC$e9j>HVA<)S}EBWG(Vl z0kvpIvL<(Q(OhCd#p0l*$`(`|U8umcZq!!=cJv~2~tT5{4Y$P`w2;<^Jx0d8hNj~Ar zB_Eb|N*mWHODMV*RbRtpS5mE&REWzzJD69O+pDJ=FEFjc8bSu7?yV7SFL53`lC)&g zhmcB|F7VV5Pz)vc1&!-8B;?+UGOxu158Ec?;PNMp+yxKU==vfbju;E3ut}Wc&8^mA z7JC55n!lt7D_akNozJW!4!=OZTxf1DL>B`HNmoV}OhIXe+pS_6poT)vC-CGAOcIdh zj{wOJlbkY@3rZc=Vf{V?QZ;|Gpki84&Q57vo|tI<0?1!!TN1}kdV<5B#6IK^*jr;x z7z4y(TO$OLqKg5cYu>)JEEp@TL5imi#1mj045k=@iGzd|${&G*G_3~$d-V$A6)ej& z_xh1!I3Ue!`pYU_S2Xz6CtW4x%2bqPT2{E_)h~u=wU)ys&ptm=#JBw~!Q10`g}S@x zVOr2BZY|M?@GcHEernVD2Ng3)bs(;HAZ7FK5iI5m^cyKw(5jT`&cdwhfwE;tt!!RI zaZFAIQy7X>*BY|w$Wu?MFzUAsz~FIq^)~2bfOVjUu%5%xYSAK46B4zMo;0@DE`KGm z@3lAZbNa&%_~TV|<@VyNR`dfs30E6=#RAUEnDOo(ljoQtmii(zG!8G9_T7R-ENZEl zBPjodR`t|>A>WSq;e)h^hJ?6#;MVZJS_nic1Pe*(KK)y)eaHgXomZK2nykZn*0l7d zwyv_PGQH5J-lxovStlY0mwz#|OOuU%QRhGoVXX}WRjXP|^q;9D3MArCDXO4$^W&*F zsH*+?z}w-Ae|qEBE%r|fGC&V#D^VGIR5$}ZE?lXqH74z< z3|_$jrpNUgFc37n26q4de=>kdmmHP%=Y;(hT^%%bjw+A@=Ois)++V`Tg+LEEt|VdP zg86Vu!P7L&zr&1CEfz3-R)%e~L|@k~%15b9fOXiZn68hS2@J!=-=1noa+S=rCKBN6 z@jEb~1$d3<-vjTtbBx*(tH2?r3?+?3B}5W!Z~LsbMYTj%s~0b$XGY!2^WcsrY_fg` zEZxYv0mL-~=nz&jLM$*HeK&yzk_qnCLRlqP8R|@B&?#d}WA?BA6}&SlDCOQx-+YQY zk?9aBqfT$m5$}i4YkCfW*ld0yBP&nDHicGck+>&L=xvRZA`K71{~nUs8!UN}9gKEa zy3@$CoN_H@hD>JW4H-Oy*$I(w5vHwQL z8vlugBBLSIVw-n?VPniTKeEARG|Q)yqkXH z%E?y+q*9YCEP(x(v6AAuahVDPEut0E^X9BokR}@+#8D@d;(9p=c`-E{SJxsOFF>YY z8(n}4Ax>ws3Xtg8l3fH3l+IJVYB_y-&}KWJf<~NtKo%a$d-V1obKB;J*lX|Y%SF+# zxoBWhHr?C>I;|juR!Q2o+zB9d9_krB>81g8TX{W&&2cfxg_?jmnoXiV&~wBy+^W-J z6t`Qx&qgd};n0fmD4+76lo|N>?{5IBW#xK|1*(0bT`LSjxPI zm!=Xc5uDpujULm&A(dG=YcWUGka^5jrJyq5u>i0ooi4O!=IAh+tY~I)LM!^@i9OYj zMkS}F!KGSHA_zPK)fbfL%usYC1Y)T-aGX&lw6=#O;+o{mhpYnVbRJ>ThEMb8UZ@l# z4djJ@CzA%kLm286;O>~JLkZR)1b}>lB;`VotMjDzvo!IfOOMN)o~T7x1igr}7W+>5 zV6axEI4NnwMp7f$d?}4!#(bgbk<=UAhSGbghDnOnfZZgn7`F$O1u&}_ZYA+amkupQ z7Rj~=Hs*q@sR*Y|bDjc+$iypa61fCuqo45#tO_9%eq@s{A}^`Yxx5dTWg5223J2s@ zBw3M(B&Xq_6(K$+RI1?F{SP6bEGFNLvndZ;@_pq0iX8W_%R z3`VpH+OusI)a8d*Vu3DoQSaw9v#~x$D);7P=w40vEvBmB)?y69jCtknCzF;RzCR7u zSZ~_Pm*vm0d5X;DmBEoJ$o1s5HMq%Jx0IyQds@IeQ!jL}Xum0yCR^X02=3anCKD%@ zyktiNw{xzIVyIWNuLlox32faNUxwua)!I47L;-c=JK9%)3#LR*UH%P{$Bo=PGPmsX zNn^%nz*6y%^_lgab@des!S$9xLHUnKMr`8x&HBx{&3er`URQlx4-%73^0pfv|Go-* zopp5jp#9m}(u4M=YeNrwogdMpKSEy>bK9Z{K^dMV_oU+CQn%QMS0dYsG?;wwB6D0< z(rx};W{_2gp&bg!0Z_9ospBvkr77JF<$4FnNnNg~)= z6dk}~GT(l``@kH1$z_RjsEi|Psr{4KZp6@scA+l=_ick%9K411mz~*v#QhB%N2W44VI&WG#80YosTgf-7>aBNk z@$^lTpYB!hyX_VN^5rvrIcDk^7n^+x!}^EPS>R$_KZwPXdD=&1!U<=%j0PXrMj6&H zhE!owP(O7v-`r*c;Bp_jzo`{?-DU=Uo{{NYuF*uuzn{D zY&#okq*W)9*_9u7y1CSGdzFD`rwW@JFa!&7H4)MXT|^~Ry^2MLNC8DR?-6^$mDjo96BI;4KqZWG#{G%$_a9&Ma2d?SVJzGW1Sz$V7 z4jH7N*ak>J^HPEQEsao;9%^8cN{X7%KIR#j)^*I#;ZcA0vG(HENI?*7_Es70g7C9sgln941>>X-1BZ`&Rb+3W1S z(WECH`!H0eyu6v&55h){f|UIq$i>}VTE%`&xCr(QsWXW;YWeW=>Hns3ICg3689OF< z_TM6dLR+A=M4HJkn?E^Srf9)|A#2InFsLOwIiQvp2J?+oRQJWKU^et}BszvvRLiq3 z8{6!w;kwW3z4@sX<^L(JU85WP4?Dlp7^p>>%b;$mk^WrPJAZX>;5gb2wGn^qt^fAG zj`;(BNX7YJ_lU7g8&8*^0R32N+aYDto%JshiKeKlj# zo0RJwg{jSNO{tdn)RP?|*K=TdCs8Jn!1*vOIiA0meZ1KyX20mNq%VIt0&sSD#Kyp4 z*3y?NGsB0UW};t{>i%x-|28L0(!o*l>rGtG#|SoGL$l-W4D>O5v!#WU-u4xGA~m3z z7qb2(YmuZVrP1Kitfe2EL9}DpJ{{+2$KHDW6>|xDFfrw0uP63%ALL+@R4cUv-R$&)Mh{5i~}dKP<-tNWX{^(*JM1ub8)UFWVp9D2Q*H2k65Yy(I0v~MwoqkGzmyZpXe4*i~5 zNCPAtRwD$G!r=FWZN+Off~Xp1y|qYL3O&Xu&gHnjxY)F*MS}vXC)xnzI1(>5!{z%} z11a#JsBMMb-U%Ma)1a7>?u_fNft6W|!Oo&CfG3N#0si(EpZvvli;`wzf*&rh1eU})r^4uoKdSs{^#_8`0D1H$R4V5Qm_qH53qm_$i_K6`mfJjph0hstzkX2 z1JKlO6J}Kk$7Vb_TPAES+(}JjLk5xNzoG{_O@~Qn+!~H#>w++f*~N_P*63~J2N;MD zJm#vee4>&D8bdyVWJvD;W9a8SBq@r5qa}2z+2E*{;uq3mhR`Q;9bLDo>94>P;q0-K zwzSErM1^FgPkH%EY;T=qL&q;+uI=QR4l>*66HUSgVDISwQ>iQ!Ao*7^VsR|odm#)!5)g6@a?egkF8L9cyFb-M5ta&3d#kRWp0u3P$#EBd-8 zj9^uB3%g8oPd|dNfbAd-%sKgQIA;Z8K4_+sZkNP|RBT{hLbt9hSH#fo;OGtTqJPdw zOs_s1(fJ80*T)Jqlflz#B*Gm43EMLh#RGp}g$-}CXk&p$o*9d!Q%5u0FPuv&F|~*x zQqw$GxRGD^=bZorPpwd%#UhRHerUQHJwt$0K z!+cS~3Nb>UaJdrGQ)dOV+rC+wKom%3^6--Ke%oVq06?U5-j(9DCjCY)WEh-@5a;mBgN}cc)E; zg*at+nDvEWcxQP0?h6YE%#bS(Z-1ys;$%UGmn}lVfR{~yL|4QBEfVvHSTW{*5bzhE z#XGk_v%_n{l1RtL_`>He5TX>6GeS6oL<30F2N3pRE1;wT0eT*7=EqJlZ{o*(qT@l2 znPMFw7HWifw`h?erk&JZpbT7N5X``oNS`5T>XO1lK4=}WtjK_77p?NteYwRy-M`E~ z!oSwv5ali=I5RVV01?ZXN}GbY)sFvS!TO~A;i z%7z35b=cJ$k*bi~Dh+n7HtPRkMaOLkSgU zW>v#5HuWzyYG^}8I#)pX2x~bkt2xbc&w2~Kg<%4`Iw57lRFTar3uzVad#>R*7x7O=VusPMa7IQRV&Pbi zNgaG1Q+~uVaTiP%r}VpGgIqxqc%T050j9BW zPGDDsk#0joIDn#nARTia;Lbbej8`W}NyY7c!mMN3RW<95na6`o4^%Up@^djhU18vr z;>vexUN>33h;7nJxD1O;dW|=EG9;nrD=a(2DQb0Tj=|ospR<`vUH7{tAnCQ+=h=ox z&)6hQ{7If45_)b=Wrx19F32!j5X4L^*sZ>;}&uF^ykzTLK|qS4(IdVP|}yCkQi0@Arj3> zD`o1ofWa(fdLdN#mLO_j{nLpAA1r2l5JUBxMj(^C)Jnt5hzlAZeP2E6B9s871 zkd;{tdwce=wDsDuY@sZB>r|&GyO?jJF+siKLI9f-KR=#0trWZ1e_(#!`SKN&N^=%9 z<30y(+`P^tJ5foE`Qs&{fRd{9#x{tmao@*1oV-q&3qk7GX6?fywSvP$?~g=q?@c8R z={+Ms>)$d_$?SYnicQZ9;u`4P(^)444CaHem$-ZdZ3*hV7P1(mDxUtOuYRpmNlfpp zyLUlU%V;l-sbclSwI_+;GcJZkz-89HB;Nf6`Ln!}?Z@{n=N)A7x8E(f>?y{T1>Fek zUnW^cfI#RLeoBJv{{~Xnn(M;MskeAppAZiOJ-$Jdmv_SarrXcDpIg87GNG&I6z7gz z{3&;BWc6C=x8q%cdQOJh;Lr~GZw{fqD3N5gxi|fl_i+_i3*1Nu zBMEN=hr?h${6Zqz${y>XPj}q#{F|NM^pa2ye!=YsvoGDbgVHf->f_RPF5$1W_+V8} zbck)S+|Vu1Nzt{@1<@BDL>LEIDsN#Lc^T`yvhYB~kKygn0rShA%?_lLj#NpN3EOF8C3_dwobJlT7b-82=A& zx=$;+x%u#E?BEdjOS*HeQK7r=5T{;3S#ccRXj#SQ(_LKa^8k8puVsXaSGoRQ5JOUm z(0z1hTQ}f)~adzM?959zu9%FyJ6Iax?MQG(k_dmcsoPa@b?0{IZTh}>1 zn7}D%`Gj67!(4*TfB-i?=o_0~x9(kdFF7tMyqA|kDRhYLjgtmAvJiyk8b}?a07_FM zZjo$=ZVi*p+LSGTO$VY|g;N71H$(9B`e4*Ky z_*I|NoPGWf9P$GW#~3*0n6qeV?*}IU)r$!~$GMKF=Z_E*jDs!+}kiXIemNcWZii0~TB#^RI+)Lx?NT|HAx5 zQJa{#MnRj{CK8!zA}P%Rrs{nRKs5qK#4HU*1oIwZo;Do_~2lrsXEw}{>t_hwQ z9D)Q04#C|C5FCO94=%waK!QU^ZcpCx+4{>#5@BXX;b0^QrRK!xFglOY z6_5a&(+sf5MkuF=TLezk^4CPBB*y*okiu!qBy~C^(I9%j(CHA7WY><}g$RD?-A57U zrDK&7;}o9|$Dw^YxQ?xL*n@h8X2#%X%B5Wa+UJzHjY^>9);Y9&re8QW1}s#l%)B>E zG)j|@e0A^?rV@kY^Q*m{Fy$@ru@ncCbvZ=GQoRMWwC^1?n)a)mE&7C8$OFvZzb{uS zSCTe`r#Hc9k2IoLYgu9oAFyi|%E3A79ceC=C`$uQFj`*6y5xR9MoHaw#zR*&FtvZfb=L#JK+z|in@ibJr~3joai615q=TlnmQ-N3JD-{vW*&WLz|HGiD6#=v zjPY>GmbiL5++5iu=JtImN&&D?B#%W0?>YJ>nvhZOiA6>wU9*Bgui@0TIakl6!h7ZL z>#2Gy7+)_~VG_~{$K~<{IAx%rv8Y>I$|2yr)DjMojP9X;|0i~SN_}$|?j>|g>!pa) z{6Ia<8@{;h7RfNf^O~P1f`1eZmfOevE^%008fT;CwtbC+@w;rG6@EEY&!e51Hiow{ z*9rCLSkel-^bqh7;Srdcu&bh1F(X#ZVGg{hMqfge;nhc9&yZY}#C9{{D6%NX`ieq^ z+v7(X!wlblsQtgpq%^&gf08c2k);>3e(2|KRm**P22c7(#PZ4zOY7?{&x>d1jV&QD zv7vaOHr+G#se^h>>?RRpwfgp2>Jen5diGkL5o8`E6P5y`Mr!3eoCVySTJxy21?HID zm!aR#n7G5Yi3^(96igYCj7#ZB8Cz3^^%%a(B^f)IVjDSXF+|Uj)fsp*L|>5C%_PXA z8tDvaPh%T8TItF$79QGfz!R$qKGG|+Ns?PWG(OOU=@>N4lqa;1m)1Cy>N9BPU5&t$ zs3-f0nO^h-hd|&=k#v1S=T^M3F_gI-_Q99=$Q+v$*okDrLhGdYKEhFUwEg_5bOsFm zwhKlLGKDvFjrI{rXEx6#u@iUVD{ktz*P<-<)MEtbh>5UYSlFoBh^;{rs)=UHGFWSW1Vf25 zUnUtZfkQ5Wjf%dKO+`9JjX|NKZ-~TlSrwsnBGe;Q+=z75!eSZb(K<}Uh#`{Um$X1D zl1+U$yWGt{?#lSQ9TQd+mlyoZQ3&cU@eh11{b)WSBF59j0jp)=&)p5j7;vn5^G-4bE$? zsEDcY4pdq3@AC~y|A1YhxGoBiIeATxwIhC9Gjf@mDogDMpF&FUJaZ5z4mq) z(gN`Um;#O~$CoIFW>#N#TComW4>pfCe{C+uOOLegqSeNd+|E{t7Kp>{ZlshrEfe*Q zOna*#fw4Fu*rnhzDoR`IFMQCR(5)za?$X|FFi^;jEb{=jjhl9lG}tAYJODj+=_}SV zWI>v3emm0q_XUAFPV$N0RAVyYhCG-Ro+w&BAu4#!RJ#8sxSbjZHMk359>Ap^1>#CqOI?EetHM#|Wc7LTh3 zJ2#W$GhmnsEpBW^CzeFFI{(pA%xkw!T#!aC8NqS*QRe)|(NmZ_25fKJPy3B80#-IQ zat|PQ;!wVB`z?4PmV&HCOaozT&`5Z_#rQi`1sm{qq#qV8lq1?rX!OtpmM9OhlePQ{ z&z@QS2XazUz=m>UMnf_?XgSdcGhB+H18uyC;eDF4P=Pd)FG|kgrqe?gYOxvGhk{|PH|HClA(i#La%Y+lf zC%hq$wA->8B4b9QKwhz;n5;6VI0HVU)#enBfRAA{k^pHhI(=>_FCT)S2;xQ1L;8_G ziw7JB1D*p0wPHd6kS*Y3DMA0l?=<6Y)a#ji$t|k5B_O5vh?XOC}hJXzFJa8 zgO)Z%zt!v&7Ccl8pQB<5+X-S*^*WcvAWZh9sN!N4SAd;5G(=f4UJDq>L#H^R67{rV z0J~6^gsCzg;y~K3RL)irUb5z9NiTCh0HOdNJQ`SnX?}$ID~1zkaJ@j(%AZIii-=2c z&6T+xpERKF@rm0(0e5-`Kwzg?1)rqCPtpP`QuW^I5=uu*|6^mz!Xi?p550V>9rHqwyP-7bVxT5+C)Rnkd3pmfF03X4`F^sc z(uw>6>_j!;eJ+nF!=1wVQDwXIH{-xqQNn>PF)M8EQhy#5$!=N_Ve)@J^!?oxH;Y0B zxk&bzZE2s<8RMYGYF-M&!HhY}MqPB|ooA}Zf9{8mHOtVj_8NLmuTV|6L zlj4(ZxEka{zZ+6oF3mYNrD)o1k+4NXma zz*0);9$JNpau5$?B-H$!IP;2`_$u!?PFG(LXc>j~MN*7vHTpzw3z-oT9_%@>8hIgA zBr@~bs4>(2?eTqDHBZ&a$6tGS{oGGqUschG1|FT7zCIEAIk7Q_|M*K_Xok<~qyGaw zd+jF^ertJWowB<@ugCB3v#w}FPsBV|eG*O%=`?3dQazR?N16)KoE0A~#hEqHbU2Cm ze<#p!_QKf~iJN=DuPd zKl7P2SsnaHS>D=!|C_RMXG-)ZXLE+?;SkNgaC#cmGbJiMkzdn*e?`E_RoN@ikF9#> zmQ&lKU4ASvXW}?}Ka`p6{SO;X$UcxuR zmm%syvHv4VcfbB+=gH%?^VzSbNTXKcQu^9Vba94Zm{AD0`TqtqU=&Z7ipo7eg-*$E zB{3)%!6NwjlyGVdA|Vb8WTS*nvoZ+ES@c$t3CjL(DZo0eQs2B3EEXsNDzywcY<_ra zSX`ujTGEb~HGbflLzu9vC zYqBS6sf#~B5-p2v>U^cN-U5kU>fUXlfnol0MN1oa6%{;%rOlRP{FeL4L+r!m(Od5N zmm?Kxe22{q8;L!CEa{Kixohs4t`t;DY|E`ipP2nfq%2t8Y(e=WQq+FIwIK0>5uOGM|TArS-)BO^?3ODL^>h0C~>H)os8R_8VElNt2=KqO3d>eH!bJ1 zN$T{bZN`YZKkgF`L-qJ#2cC<%lRcZY$&t>2v>?TYcX4KyG#y7`{tG_mbteb%V%?WC ztn;0lXiGlt%JANts;n6P z-y6Q}^*vKE;uc004h-3N=`}>*|em7mmNFW<=L~aMfw%kvReID!HD)k_ z$bb=^C9~p{6hD(ln_VWwr%8#OQKdLchQY7|ria7Sep)+2(R_+#$s#}UM-$0y;@a6f zw&c_G^b`uwuW*2UwtP&d0Hz2$;(|5*3<&>JZgCEj3D4h%ihpXIUSP;rJ+^&&=I=x@ zaGC1B%l8tNNXEGP8klD4{-`GimG2c$>WjWR#3`(3)-Cb_dpuF!Ev`Lu+DKl3`Pr2! zFVjui$1sXdU+&pPl{$}eEEImwLJp7|F|`Dr)p$K zkw~_TGikP?-qV!H{yYS`o2N36vnoL%kp_GO8fiYn?v9&Ssgu%#P7*N6^vgYjfk=si z=oxj?&Vyk<;co^Rc$$2EL~h?ml?#I1+hsJrE}!&gh{&;hFo3=W*^nP@6XqRRHc~St zn{vTr!s|Gnmt#vWq_~EYhSqVeQF5Z$=yw|GfsD!(1A=oK2c`t&*uI}eGs(a|G-na2 zEC*eOYkpNs^~HS)-XO4Qi2>>AHraBb>FNsQup_wk5BCDRZAwn4qw8q`)6|`GW{}a9 zFOC4|lA4k+Ci{9Cz%;+w;4}gUx82)@I8Yuw9QGxBve2pXME9qC5H=-Vi>?c7b%e<+8jT^jeOCFgAQswbC*Y9Z zS_6kna3l(u_u{QNG&ld!-BlIFunL3Vv&3#_PW`>$utjWVT!$;3OXn-~_%!(CI`VxN z>TL+-Il`AB?8)JYWcfBu>29BqR$H zsKBheA{G$Zwc?OXhS$Ff-TV2^$S zg{fF51e)X$(*sNNw4$CPOTWiJy~D$}q{2OV1etf`tywcS|JdF25)~MlNiNrTu*6s# zx+3UQ=&2SkG(rDTYvSDrB~{EG3!b2<;-Z`XAK?8_Hkzmpj6x2NE!}jcYG8os?hKU! z12o0K#wNUoe6^fcM$X`tR~kW52>RGmvg{f6cgP5P3tnil7u~J&gC{yilV+T~?CKk8 z>c<}QBet}(Ub2kX8R8UBG|K}9;8>!*eO$YY#};V@Q}qP3U&Q+q(uppy7x>3QGU08M zJul>Ny=?vu2%f6HLp|ve`8xp|wVV;ijvu)0XvqXzpl3rZW;G;>Wb%_B57NnIFg2VS zb_73y#mHeWrYkYZ5aE#vK$Gl+fxB`djm)D-eBvCI@} zPlzSCv8#EV`(10(1AeBrS@>ekAkDa{d3paLRjgyxz4(jq@wxv3U+J$M3Z|ujuQA+ zPyG53jcR~Av;89_!(c>&2fJX%m$z-pa7U zQ_O?XllxR^I+au`3|WHU$$hunHYw*ngWwm&!yNmc?o23_>)A^j^;h&c5PZi`QkHQ1 znG`*hl6lc0Cyu6zR}!FwraQO6&nlhb zb#0=iX+tCVfmr7g_k$R0&O?|(Nzbro50u$WQMZoa>>h)8E~M`s)6FDehMk>_vPyl1}HdVLH2vijjHY~S55vZ6Yc4@RC`X?G1Lnd3{T+--G{ z`0SM;{zdnPiT)=KQY&xhp4@l99fU&I$CZz3f8`8%nP2P&mZ57^=D)^Lj$I{JC!uRpJga1@0DgvuqBP)Sw zuCFWoPFl6cJDHkx>gEnyN8cI=q&DikvU+gnI%<6%n_lq|`sU)1ZQZW{wc|jmfnCE4 z&V5S#DZ#43=j~@E3xrhqdQK*WZ(i6u8AuVN0NT{>0MWiVYZI?K7qw6hW0m8krv~go z>6_|gazfDqVkoI&`QPJ$ZR+jYnamfsC{I8Jh~kq>u@lZrc|_f@{1>`*9fGL_WqKOc z9qg$sXG*hbzG7Up4G$!QH2j^i&*#Ox6rbF3Z_kVG*ZNIaoo!ipXuX-)nlgSSJ-aov zWF~zv4OmoW(v4EvTYNthNas*e-l)>mC{>_yu%<~GB^qQt(N5tDST^#|-H$C-aW!cy z%ckHeaewSvRhv63BOYL=X~S?!C6F!5T~bG=(f=TEz@I!KCE}WclD%aHY_DNaM=Drl z1l(}%4(rXd90%7h${!p$s`1mSb!&3M6 z{qsPg^4pWOuWt-ye_s>P88+FCVV523(jNjSwz~lIVmmCHvNd&~lG@}a7TlT(xwb2R z@J;Bdx?H(pOd(F_=d>fj1q<+gS7iWG(6&9(;iSS`L2Sk2+O&?M8I!pUGvocmFD7#q zUq0^Cjk)7Ym^2x>peF4C4k5HSCUy2la!dauW2HTAIweC95re^+q{F6F#o7$j)*E3x z5B5iNpB#C%Q$@oHW+596%%HIq zwvy*$5@Bx*1W_Se`8G9%4$}pWQ9P(asXSq0sXVCDfSgY~;8T+kCzQ}XEm@cD?g$k_ zS477|uMbt07Kk2Vl?k`q;E|HCCp$J^4#&7RX?}+qO4?h`5uA%=iG|CUEX7qW9Zm!* z5{1=R_WhufGk{($lk{Up?*wHZ9&3&D#{H}zxO8K_Q0O6J)Hw;64KTl;HTaq(L0wy_ zVuQb;J!$fu?~!Y%Jo$bwz7+1rW*GLtX&cn*Hm8`r6dWjK z7^%T&7HsSNR#RX5KTK&Cm4EefDxEU_Zr`NiFriNXDjU|+V`1ZKS^-H2i&&ZRP$XTd4Xx)y?6!%ay|m4(hD z1tFo>H^oFYPNj8~L>+&a)EQ_{66Lv5q^;Zck>eGb;GUV-Z8A0@PAqiK|vgaxv$$(*>8aFQus#1nX^GgMu}s z7oHM6AzF2ASLBLcPa zH~_ehBFHXfa00%dDEPJe*}f%J!*=mgpm@qB9S>Zct*ExW@l5ti5KzrvUipD@ClI@# z)g2ZZfF5BP*fpeSKY|&H8`0DrVaJwz{7qc;yQk|nis51$V|Yk%ZjYX&FiS~I3PcBs z!-*wQFBIDtj+_b<2fppsoR1=Cf?zw}Bt&lvsh2AqB=}Z;SKl1(z>KXQP`0uF8~QiZ zpF7!ATZdvt5ApS+*o1SAfb>(rJOOyh&0kZjvfrO-Srv7^tzqYKDzN@G_hs0KyogZe zlfhgYTWGGzK;2ybmX;=qmEmC^_9x95(HsRnlE(4kBwTr_{b}XM{Mga5*yL5udR`mB zuo?ZWbr6RDak_9Q_L!VxT&@g%B0=!OU3O&L2wQPzaNa~rF(xlb#&>4qNE!ZYjxNoa zg12w5InId+Y@{QaEc>`hCiJYgK^((`tsXrj7JHU%#nbW<;GexHu+y&t|EPWl zwH;8EM}yEw`QSIzxjms)_*^A5>u)h}IJkUSxdF_ZLV?pTO!kE|hP^g7-vG)@rb9AP zJb)`-8|Xi(2}#sQq`+qS;lL+rAt$M8%NE1-ek2r|DT=*5w+Cjq$W_9d-!U_1=J@O2NWL8TwYoz)%~P zl~ga41XU#>h2a~;NtA38*p(Z z0I6aDqNfTU3u`!(&(*NYljPQb?^x&(u*;lE14VL+hEs>hv5XMLKEk-1N)5DDsiu~F z8j-@?zpexPt6iwLu7LwDMhUE5-GHA$%~B3Y+vATO4W@(y}_> zFiM8KNr=n%xO8j`N``ARQ!Mhz=KItvi#W2z9Ss~bi|cJQ?24j$d*9b^C|%E!=%Hgh zq#~8Gt+h+<&$b~8NHsh%{2$}eF?FH*k}`?oX%rVnL<+k+PfE{lGGl>?MN#)qxwe5? zYI`S?#Dlxq8TU=7j8v`jn?8Vnt6hM%5gZv2jEh73h)Zp`hWGo{Dywt^ytq!}l`2B) zBLwS}G*+3K$%96_^qFiM?SNF}Z-)OcJ_y<-ag>hu1?osh_kWP@C)Q~<))7OfMF=&? zjQr#!1udyKTZ_IM<|njC0VYvCQQk#!RVBer?znhtZZZiQ}) z?mBbj8tzWY;u>3Zi?Me-86pvaI4mC6&v!`XM%gR=1T8=!U?y(4gmfVA&@%$eZeW84 zK;%4u4?2ZXJZq<3{`s9=jznY(5QuW$vDD- zN2!(&xE3DFoDf=u48~wo5Tr+u>MOyJ+XLc!A@wi#?KW-42gcsTW{OZf@(^;_aatZ- zXhHNY`Xm*Ei5s@s1#yr*#~4t;$$!MFU_{sv0`%?`MtVTlXovL9D!qqmLhGZUWh(1q zyg#W(@C+kx4&2CqfC5?_BDNSV4`kB2If1y4nQyw^hRseyS~|Iz?SB{q_4JI~a>2mL z5(XJg#EH~Fq(I!T5j)XFgd!2uKBtpQ+L>Ly^V{(Fr{RPMU%;dg;fwIMK=^_&R}T2K zJZ8(n2oNLS9H(trqleyn)k~k+U0Bcl%@`aWhBXi(Ga@#`LwJ!U4BkaNMbJEF zXyTI!H-bIECCnqUyhD*IiI{Ie0y{D^aRJ3OPxv))2L3N7;fSj${T&bqPi~NF1S0yR z2lVa#R#EOWi2n|ie^R`LOhA|HQvxkjn+LkYa##Uq=^G)-=>Xhr9^fWg=6x6$HL&*S z2v`FZ1HwR~kd~=24N}C<|Ay?&>`q_DTF1mkL;1b&%y9u3B@~Pv?Gy4 ze0uZGlP*Ze0dYd8pm~o5a;XUw#v&51kuvieMj1}HfVP}HOQKX1sFGP*5v-NTJ@8|o zWQ@$p&uYdty0#taSl@533Ta%hpg|1~#klmuJ=%w~EE1S}!>qbQ)xQfVzwerTx$gMbtp zVy$nnz#CN>A1SDi&8|Y&{Rpq9`aCeRDF{~IFy*IhYjt;7y$(@?pvKE$&{pD#zo+os z;=jIz73MWaz2~Bow$c%_j8l)1>vQ=MvQmZm!4%W`1OAaW&HOjfnk6su=EL=RFCcDe zA5CbnU%(<0UKH;Q0;ftEF(3-V2%Wp{*SQH3m=aMFf!sl2;*bR0I!%SXrYX3Mf*BUPBcYw*%jXoLOLuzornTvt9o;W8jYiit8b| z-pU4X7WAxih%K*it^UFRMTvvezp$SucJm*&|1Rl=+|vlbDSDu~{AOSV2TVbBwE|s} z4Sxuc)s$o7f+ErQ1zHZ9hZoWH!(c(XsCVO-8)AQus{x z%g^c@cO!>x{_*3QS1-+f|Lpp86LNosdbf*t`I+D-2eBKhh*zB*F{sZ_Uk_s7=i^a3 zQVG*Pa+`NqUte8kkm4xkc&JJVBBAW1R~+P6`|K0+JlDVLONokG;4Hv_6|aF%~#}t0h&jUld&=VrZA&0{XEk= z0|bl<;zOwrpL{?slbA-pMHb5V@EI33n!H)3fe|RGJ+QWckfb#}J~)?qa1R7tbdQN8 zw4fqnVv?KB?rQ;oo1Q>9fF)Re>Wt8yLF5c3_P&5Eqzm*F!_i|UJJ|JZ79AMuDYu^& zN8~yrS7(uhzSrvXHUect`fN*02$TWgf40@VCc?bF0%AEJ48cPRR$A`#Mcw8@`!6sL zqom-58JC>OK%%`ABmcMv)9vNA+=Hd6;K2CD?2+gk>GA_qvorKz; z)G1j$shZ^NOfej|FD@gOjhq!>o~axc26$1vj|8EHqX6p7jyi)qIj~hkZs5oxA8cuO zn(Hx1DA837A+R`0*ef%7So>J!RPeufSqum5S%Lex9te;1r#@`LttSBZ6U+)c1OuoZ z5g-;bZ6zFFcO+9XHCwt)#WDszIGUa^aQTrgl@g}5_jzM?C#B5;zs||h^c1wH0{=!5 z+N5m>97v_y5juELMa~T9BBtX|AYZ0M4&=*VtuqmH_79*FYL>a_eNy~z`=24f8TtE| zD2~{7C;%OzpxEFqq0~7mp1K%v3o{0%hiB^Im_OK1m<>giR!AURA4hU!bXQ4ft3Fi>NL zimBI$EHAC$bcSCQ4_+`X;DDNf1?p>-8Jv*)Y%M^>QrU)(9I93($o$E1q+69zQ`STVsLN3c2G&BUHp@_9WZHxrj_Ds}$nA7mh@na#^ZBl@E9AsfP_| zp@+K4)WeiC|B^#rQ9_$!0ei#IEX)oZIpOU~W&v`3{ZEyJwjKnW^g8k`nfc#BcBPCx zJ@voG2+6YVT_bBwk6!VIN3i~0lKRyg^3xUdW)0)VN4%|9R71ao5`K?GDWQ$Ayf+6j zxKJ!vV1zk9@?Wf8cpINk9|#(5{A0d1T)hXogxdQ%2B1ntF0jFFaO+8|YHf znjG?3STKzb=Ep_;F)#zY2C(x;Q&YdyyGIn++=)N4a9B7N(KIk>5@QlbOZ~=!4=f#7 zd6C~bs=N<*eox)rPBMx7i>soY zy1iqPSrf{_4@QD%82k7pV@1*~Vi>L8Al5g&Xyy(H#~peGl!bS_q^U+;1RsFqU)y2A zf;>5#_6|t`s-jAwDnKCV;l8x?J(-H`hJn68DaX4DY);))U6l%*Z6Wqr?G)oeAW>sA z&4*a*q@Uk&!2PCFYX&bT(1GW$P-9;p&1;G^Dnk{Ve3FEk%6NKH(W8wfDXV9|F6wQd z3GfY;ocjsvHC7D7%{IFb~PC<1@b*R*ixJGRp?psWqxK{U#)1XxkhS}=R`&HY>0 zk92yXQ~1ph5!Q8I;6PM(4!R^X=ONQVQ-~*lW5d5M!yW<=p`5P9LcQeBR>fr3JNOYo z)As5|15(tUSpiw_Q-NhosV=1D#t1art`!?4Kt+FzhHI{fQNx6Kill%86r9bY@FHDV zHzDD6$&v%hb=#T?(CJs)as`ey>XWYRc6AK_SW~mgIzzeN|AqeMFmg zGNxCBFpuJO7X2cW@~=B{keAfIZ)}s97}25_MMx%Yn+~g4m-KO_Q;Nn`Fw)_j$`4H> zBaLY&F)x%yXX567IB10c6GMiIFg;;jX^U|fVMdggDk!gS1zi@z(rE`jQU01ZhKyE7 z(Ml~Pm67-fq54rv<>sAn#gR$L?ut-z2S<+c3j=E-vvyq@kSIkzui7Ik@wh(<4z5(# z!5~cF*>!$*N-+FA|FOP@h#6Oo5+>JRG!JFOw(q2TWexSiwgZyXP5DRYhyrI-U=z=P zS(9;;c6@stJ7lwJU&bN96)gOnaA za7#(GL2Z#yrY^uZf+|@FPZ}<;%k}tir7UI{U$e8dlg4R0!v3#7tFDCM+r*x--=HvU zAns(O7cu*!eJsr&J>-gwh?D>Hmx`qg#_l5Suws^R*=TOyOl#5be;VUO6nn@|?5;Np zSl}rb1tXdUSdT>sB2rE}sK7c{YhonQfchMv?v8|VY@8IGdR?lI=8)?3#QQb$l->3P z8d>NDS(-f&z6Ak4p5Lr#kS={rg$?{Tt(>L}hNL60PI^fE8k(-{I+KWW3I%l`#hzP0 z%rS|cE#ankL<&V8Ov7UDfXNdbP4p5JIm?`HD`n10^g@`P7XlxmC1kVc!w!*3Zx(Oo6E&L<>mdggjv}%1N>R$A*6l*A$&nhnx0MM;aW1N-N}YFD~=OIP{9S+TdAH zGO2h|Rumiq;PZAX`MDeZprWv3*LT`DA2;!y??{6bam3W+P2BHSoF#%O;(pioPs7$5 zb9F-o(U2QBPT81(_0VaX(I(31^RS7^y6RUmH}%l7Ij5+bIZj94?6l~HJi;7%QI6t@ zonciJT2YW{I4Jzh>WGq4QOn!Lp^BP+AcbkL#r-ElKaO#8xYpW4BtM38Fy+Pe9v_d* zK8L=6*DUblB=Dx9WG_bTIR{lkRuP*lkwmX-`8h)h!(BJ)tnZ23kL6$!)5-#A?%w)BJMvX3 zu=i$lEOn)-3yC=E&p0@0GuV95Np+r(wEJV1J-{{-LDwZlug(Z9gUcilN2se-ozYa* zP8rOp(tWk(IV>XZ6-c|7di^+K#D71GVa%rEJTGxa+g>yXdMLhJQSV(p{(Vf8N%+n{ z#lq&&kQHz74n*zu(3&^MOnBTe>rqmB_6pjI#foD9e#n>;g0?4u7s|nZx{LvMoo`%N?l7*nv;yFg zVh$Moq*``kTFmd+D@N(HXArLV$q{f_Sc721tm-Y2lqSo|lV9k%U#})Rb5(vy&XMa} zQkmfirrj&BYR$GBJ!#B#*;`()GrU~)5J%4--FQx9Xn{Xfx##6`X>KTORQaw1mET z4}QPIl?mypw=}>(a}viGmXi7aJ;y+?8Q*?Gt&1i7AyVoOBIk(r>#P3fff2bH*&0PR zDL1)by>2s)sK7o?N>GymiH$M|T-j+9Y$C^8CG#eJ;sIlz$go25MY-JRHe0!fR+DD_ z(ViT|47{#_*v7K>$98O4`23Ju?gwe8fqeLh#?9B-U|LY;W9N)a`?V~&fHUS{JN4wV zcWe(uQ$7pmvGiTVm*OxwSu>r-fN;zt=gdG7yfVYE(-81`h44!M@J?_ZT+s}dQRjPz zu9}1C+&Qr{=`>j&ylG!``-~O`E{-e576!s%BD#{HkdvYW57UP(=RQpiQ5*}&#bslX z(3$IA2b&-N+?in;BgaJsU(o%Z6P3(mWQH|_7g5r z>pzjbmaEE$JcXMG;=x(-Q=ZN?RPw@e<4PTE%QDi-Y~Poq1FF$_QmHI2f8W*G_q&tp zd!L`b1W=$1;O?tpu+sbg4Oj}HbKqy8PCKgjJSN5$q>DB&wBS6`AJ>zF2Q0N_M28VV zs!jIP;2E56ljI@%^d;nr1iY5sPrwrIr9mv~i9|AA&DcV?Rl9cCq%={I1xUIr>DiDM zr9`J6Os5Owk2e^4z3)J(3^%Y}rbG4#W3{mZx9PJ;~Gn*T3EQ}C0$W95mgSr>l+4S(N5OfGBBA^BoqEzr&D_oX#` z*vE7llaKDdS7!_E2&$g3xS#CkxS(Ef^VT`Xy`N%z?0+$5^R9wmdd%Z-TigAu>cyIi zr?;Em#gytuP;kX(zdl6=f7HhYzumfT!=8QYY`faK9ha!LlG`p*?R1o1YAuX^p!vJQ z%-`>YV2Gj*Z9C({+;YcArpXOAQX%E?ASbS`lLzw4FRf0G&VCB7e<7gjczL%|Xej1D zlwIZPdw97bW{m!(e*pL8@9`}C6Y-M5f>XxLyQS@T`4p|oAI(&<26Gp^ZRd@ zTLWxe$&}^gUO$*sss&8ayo1ulKVZLbrenGw9)5T6S%^r`4U*l0(KLGyUYL}eSrWqP zNL~h4HhviHvRaTK^69ebV{eD{g5$&tcbSt8jk3i@>_%5e_N#A9tvD$;Gv{|DqW;@$ zZ6dTD?OU@KL8`4eoa2E4BTR8-wQnvqE_b?JEf)=bEgBJp-0Ql7dboM)iDr61p=Hk{ z61eubY9belaQjWq+WT3wyeil9G`%Y0`OtO0+*YV+ez$#T9C>J3&2zHcaWU6lfaz0B zCmv{B&iQUpc(1#k4EW1#CwvL6rqgJ$<-VDrp6E3V#_bPy!2aA=nx!PU5pNegr7liO zLW})*=3A@-4}R&{Mp}Kaj_Kj5p|pO`8w#@^^|{D`Oi9uqse>yj@<4=Av4Vr*>{$l)i<6&*-K#Z-pkub)n-<>$#NTx zowk=PyVbNT5$DO&d2`>~`=dz?%)^_>>=j2y6z7U9f-Dha22jU08@8(R)U>>osU_1$ z9*eMko-rO+C26ju?rcTL$aGuZ+}y6Xdtd$1e9h8bui~^a{o03s_@Q#C_Vk!9A0!Ot zukJ6}gK_nxN%s29fn8mh3(v-}eGYPMYyLuhMceV>@c7W@cnMPYiYH5W_ohJW)lciT z&i0GFIZs9fKi$T*`#Gk&Zg>D5>v$_&_qgfURlpd(Q8}yY7uLH3>sI%Gptai!dl_0I zI5D;B(q==a%ek9o%&*qQ>#rs*pIO-bU*Hcr1R+N({c3tf$L-ULvw81ARm4QCllt zTplYOa@2A6aQ$AJDY#Kc;HuhDB;xrVjPtqm8@w-ME zIb9#8f*l*zF0PrjO*b(d&pX4!>?!LUEm_WK)BZwzL0*DkZnIWkx9h{raI%M+F;V`q zAgBB96^EEUW=+R0*}K(F%sTxq4rnr$Mr}-Q{72GFRzB2NMoNzg9{*BJ^I7`=#@^)n zVOlH5VoTBpR=3Hzhv?~79~&|m(z#^HK4(0XrSt61`{*YSFx2jBrf|#u;a!yd!xfq9 z{pA3p%_ZmAZ%y7G4Ea>8{B4pxQId-YwG6%JkEYHXX};azAISU+aqTp9U3F$xAyz8Z z{yii5z(ix^zEh&8aUi-pz;*s7P35E`-*T&<>vN>}_GX$hq1BA5xv>xILHimd8x&lPG)%z~rWd$=X@S0BsjLk&`g{*#VBxo3~;qjhAsW(DH;YUM>j(g?T zQ;}KPG`gcdq+M+b9S^LE81Z!zN{YUWG|S1P(i8j$jc!8U&qlFIswB-Zdd`zWxpWmJ zU1NB|RhI6bHs47RRd#H#WXzLa=1MU}IMm>rK+ZwQDv4fgkP|wooUf&qua%lYp8BfXwz6 zXXn&dP0?A9E^8^+Xk3fQx~xxu4I&^@Ptic|&IvsObd;ypdAZFgP5PCDeo}zpMkil) zUUb(UZ^n)_WI5t;>R$QP^K$(OQB)3lXC53Q)+C>kW&iy}@&59KDr>=|l!~HfpKc>L z4a?V5npA^_?cm?E9OK+Q8s={GKl&VQWMO`ZKh~hmHzC`0i?<7EF;$Cr*|Plm(zv?q zakHq=h#*ZXdA+C+UGu>M^~J+v)q8v6!Do!2Iy85)W3@boDQS%+!Y$EtB4#7cwZjV< zl^(9N?H+F(*nhL$3(>ip<(GDEILIoPEA{X$t`YW_Q2%YZ`q9TOF;Dk>8SG8)m_=2# zb;OTI>yD|8y;-fGv;+BjwogRkR|2ik^)5-SW;q&m1**?ZjaZ6{%J1ziU|14{$vK2F>Yf@N;hVHaToOo4>xO@&+&IkAdcIM_~`=EBl z=B2pONM|j|;5Wa7OV~$Dhj{vL;6jPec7>AS0xDTA+(n2q)vxQ@npqZmD8 zde7!+D9)D$Cn_9Ed*LcTL3^0? zrU2dOG)%eH>ll~m6CF=4I5_sr#PhK-MDA0l3tjgH9j5a-Br?4 zTvkDRgimqzA$(08{sMYQ!YA80_)5Q*OP3R$im)ayOuP%DPWwu^T_>=c%~&#;Ze%w^s=j<{m5Z&)dKN5Lgqtqp3Se?aM>OFNPOe8=> z8-;3n1P6-@AJOv;n!QwXj!S)CM`G}Pa~{X-_KAlw%NxNk#lnq-=0rmk+NXNys*D@5 zHnech_ltO{ zQP3k-<Nk*E^zTJ&lmbbsd{j@9F zDjP+>kmGDou(~8TToT-7rigyXPNAhrqNU0rW~&vHNmH*m8pqx~J5*XDFfS~0Mq6@- zk*D!al%nl88|B4ZvDCYzIN@gI@k;oabxEybG!`5!+D6`7`gxDSO4y7;98RrS)wgM~ z=f#q-qJ4XUdC`Sk;#b>q^Rq#l8R_}j2J6Kih$(p8*s1Vi8ETYQw69+suFTdK5(svD zxW=P`83zM#c2FLWt|69Q_J@75kV19 zKtMz(N)e?B(pv-sl-_&qE%aUjND~o|CZPrqi1beAy-6?9J4on+UJ{agx$nL8y?5__ zvd+n*{ASObJ+scYWb{87%jW2+`BTG51f*9 z`VIrsR|h{?(4<*{|G1eGe2FlBjNe6dSXaat1zmtx{+5ZDPan)^Dkk#&wE0WMRP|w8 zIpcV?5$>rpxOPo9yR_dY#{9lscz|dYGiu!_h5Fgh!xQpsUbqh*-5h_n7pcdz@!(}s zodgfBhQA!Wj&aN>m6SX&tNG7+GU{!~30Lk)evfA#w2oRFgLNNDt-$LW7~ZfkRj+I1 zR5#Q~^p@No+HEhPNTwfP@X&b;CG;?Pt%^VKQj5HnPEs4J*)5RK1?S{%v|GchT5BN3 zd|HJMzdaH5**qOR=nwn;*m+xagla0`4gKW=1$WC-*q5;WD6e%WU*M}BkP`(S4r$t> zgi3lINm=pTw?+@8YT52(pUc<`o~qpK*bSlS`CtX^L8Z2C1Tu7R|Ja*R;Zihel6E`P zB45=yTGbj0wS2?Z>oJhx()!{m!j`ay`jmMl2hT`kJ=s3?-D-;J<2M@F$9-aBbNUP> zNAsI56hAk6^0AUMo5KJ*HjRUZk+VSO`t+x2b?1ewjPq{tarJ$|c)D#e0x476ya(cw z*>#6!!tXRkJ426@T1rw)NicMoup@GB+9L;*Be?St7gOMvZ%QA{?g=18@t)E4YNw0W zWwopeh&v&zOsoj8TX#7r85bw>u0bU{DxyUse8OHxVbm+HrI5`iwndYTE}3mHoh|b_ z^CJ~5?R5J3QuTF>`~gOBkEezL&dkHQP}(DbZi2w6*3)^eyd#GgE(ZRQCq4{wq;G=0 zQfizQKT@U4ohl+$_)=5N_5|(FePHr^jKsK~fj&sn=#0E9i@YVJ8}tHxXl(-^k@BTt zzZ+$29QR0Gc5%iuz@GW{o~I5=CU{`=HzAZ=csSgiP8sEz{%KNSh`h&MTqVqH{>!9O zX3Z);0~CGWo;005W+M&*B~Jgqr+nob4PMde+YXR1eJVP*dLgdu9Pj2J(bSR)i79mV z`c{xS{9O52hRjuIs#q_%%dS-FO7x-GirYv{UcsFo`{pn-n_l*Le2u+;`o^RLxF<*pw;3Gn6)i(^eWGwF zer*Pn!FIT|rb2u5%LKIunVB*L_y#!QDbuq9ks!XU?SNWi^~>M)Qg=lY4OAr}!a$Yl zvYs9X4l9?(X(8ufzcFfvr4ij2;w{bOF2ky#g?Fd$qwX$MEAareip1r47{5?d#as5< zBiHhPQC6yhr9vH+GU~`29dT=Rm&vEu1I0lTITnjKpq*M05$aiSdP;k)$HfZ%@m0eM zy*Ao*Hy2w8_34jG@2K6CC2~uf-cM%xWl|b9Js=+!;L-m{?Ja56XJ)NGZ6lYad|Jgg zV+gmw;9-{u(Hh@FNe=n4;bm=dv(_hN#t;XDVfL>4aL}fSih^XOFh`Pk%FWB%8K0gt9!hWdk+0V28Rz6K}_nJkhV{%QFF|0S>VUh za7t|}CocX1rqMeXG5@EPa}W*2m2FR18c zI%4jP7lAEnW*QDMjg%sujQ|-p5^VcgJux_2I*f?UZqSM zndg%5q2nr8P_X4AM^1+{OsC8=Pvxo}z@>-QgBchRm`bX=*!C}Q2Jidj7tCa={E%>euIw{S2`}iwX z94Uu|l*`qW&N~93fu)Dr_qki^u7}xCSNX1Q9D+?&{B(Jxw^IfF2x|TqA!eqwSxq;( zayQH%;2)8WxEs4PL|e}FV`JX1up#Oz0b;37j0WUnTby^mq}exUaQj{H9}=AHF#5$f zalWIno?uF%6H$k>9b^4-J986LqbQ&vWvHqX@Y}!Lz*K)vyZFWhwx#;vo9!6YQwgqZ z{aaCYd{x@D@mndbxJLRp5b5+kTu8WSAdi9Z-~YrfaEig3iZj)8j~oZYBB7H)@#d6_ zXD@PgkHrff2CV(?a<4YIT|6a#d(@>hX++XT_LU>eq4>!g^9hk=&PKnnJ5tlzI>bWi zi_YiO-@1xSzQStGi`iFP_IM0r;xtBock2UnoN)`WR3DFI6<;bHOADto2&VWUSB=nQj#y9KVSg) zC|4&*_{bRY(N`4WB%}rOwRkC?zz{_ zzXBnw@VQp8G#8!|;kK2D?>XT#t?zvqo^v6Moa;YswN&K<5Px)?*uVNc+d9*Tv3N8! zziH;(G@q&*Q}o!9a=9j3CR~V(wD7iKTSd2uYFWuoveGVL>VorKmpYQbT6<#)(v^|= zAwl>FhOgJskW#l`%y7HHsOJ46nWVNGMLC-}{>L%6?I00{v1L`8d=-ur@gaLp#g}Hl z84tPkJ~-N!k*FHRjz`sNsDcOYu@3Fhk$4okJ{uy0eWQ?xzOdG6K%>X5rbB?$Pwpht zJ5bwGs>QiWp!l9Vb0pJ``myz>)03ZHzClD`czj+-?fpozE35D(XcRfNGiPkYO!SCU^!N4I8%$xMGst59j zb!9TgxeL1B(-d|3F4|m^dLI*qYYT(aJl=E2*Nrw7FcY5;aCrO<%66@l_ktkHMs1Z< zSr=I;b0?T0ArQOKn%rOP&2&-2<*y9TU|Gs|Lzd7Orfe??0&Az{mFeDOLwPe8u5ZmU zF~Z<%i~+XAd++vihMLBm$Dd7@HB9;h^ce-)3h3h445T#ZE#vNT-|JvyuFoS#%?vrS zt4vtrs}yk_VXHS`nXTCpSu2nrL&(H*zGa{&`l~muzPn7Bv@o3ppy2(-QnbO{uBA#D zQuIU(@mj<2aQM3cO8dccd7I@_*H*WMv>Hdkg_)13y*&P!I#le`)jx9-%%-W??AJDj z6_Hcbuqr1t;lq(sp`*}Ir1!z={m++<9wNE&4s5EHsJQn#&*{D=b_GB`3rHb% zWlu00q$OVs3gDv9M^kaES&^vp&t|>seJ^Q}*8H(;Cp*B!-S=Dn(2bn(p)$I9oo~}Z za7$%tmgPOKT|Df-?c-@-P2^yc)++*Po^N+YYGiqeI)-158 zv~g+LdFI28y0HBh>f8ahA}-*_*ReUxha0EULnU$>`Ijt~KUEWW%^tnY?U_&%@6RiI zV+WVAj7gQUm7Osg*C>My720k3hH~VsO!AY|LuZL5`8Y+RmDFG_3z_POHv2yFKR|N5 z0P;7rbKePb$IzY-iI`Ss-v$0I^hvk&ND>>yUn6}rlFOc`|Jj!bK?G{@7o6s3fD{&w zM-N=Gq`jYo;LNdL(4e%|@?Ca!`}~&)1gA{wl>$AfC##x>a;mg^U4O=c;+IAeo*lsT z{aiynlHV2rdAm=Z+o{KCUj4pT%2B~PKPx`vYlc*&(0g?8ifK6n zFIIMzF!49E?kHJNug>>NE(86a|fkV$is9w-G2F>0) z@#)XoCmPCb-zOewZQmyyT5jKeFodyVJ_f4M9iVvAUYu_|pOIi0c)n>{3+R1EMDBRL zC){lc>jM{r+S7sIq4v*yS_NvC?CQe1QR=zI*RQNQwM;Qcv|adMIC2VpvVPh%IzS`oT)j59vV{Ecnby^3VcE$(a}*RAEv^UK4<#^zHq(dE{o zb?kL87K*)m!{~QRVr$FTgr(Ua6N0oIH$lWue*l=xCdAFu`3j~>RS42}3aQna zS5TdEQ0$?90D2_8yc`x>iC%;6_fC&8;C|hYEmr|#{t?#36FcvWz3HhQz1dz0eky`D z8O-A%>AfKay7oj43bmfE&&eNd4fna^sb&XxHaefCJr&A8sm9;@i3MB@%xwjq!LUag z5EJa5gSJ-8vF8K`y&j7IA@?KdJx`;bwjq3$u&$>KPfbz#b1{Q5LXcM8tF$FDp^T@d zmw;0;A=Bn#Wvs{58k|I^^|&(zdyVy=2*fDbLX2YoOP7dX(UxOIWmxrzNt;Jjfi7~% zT{*q{dOa4v`FivShiI-kM?#v85AdUCL6}}fD&Y}VkBv)`#nGc`p;qlx^GHa;ae$6A zW!$e#OQBY`jeU~Xv5iL6m06W4FDY&pTn^U}Y?Fuf2Xg%Es4n93O2oBtmcUft^8e~Kd zFRV299m9ezRRMlmEf(63!RTJ>%`h%EEZ!`i?JZn@Rh6IzWMb&M zlRFC-@aViL?lc>50q)?=WUPiTcmyDibqo3y<5n<*S=o%IY!^?9DKGa0G0iS2+nw2$ zot{}}^BMG-C$bZAX^$F&To&$pqk%Dq`#LTLVmxdip8@4AbDM42^lg6F4*1OAF;EP< zFjbj_jdc$+-wK9`)}6NL$MGE84DZg4U`aTtTm0+zM$21KLvw6AhqGdHMuR}h?ZBtyGl0_|8SJ$RqwzZnW0`_)sb7xW!#?F#e z40FQ(!4&ue%hmCYWJU z`Tn^?@cQMxjmP&mZxU#m7KlV78tWCLiP!Z*G z=-diuflo;kOZglEF*k#@5Xk&V;fM0zi-+o{wWS?(U6bTgXxkym6zk9aOKW1sIm2)= zqs4#E-Q#$!x_W0t_G`Hke!zK0*4H`sUJ{*25c+Uwcr%<)vVvnjl+h%9U%B=Cx_Z=? z#{(NHM#f-dygav<&F~o3lx}qzW_TBia>QJYV==p)J!FTo<T^(%q%n1=blVNBXP zCv7Lu>nNSn7Yhr4LF=$`L7`HG7I)sfVZ;+(k5itZ?E(^PyApeePg4UgwUcCpJE)p2 z-5k4oHW(w8LAC(U%~+{=YP7WAw10%kh@V|sLb!mIO5D?vSC(%g(VOu%MaCy^xX=Lv zCb$ANIh%;Zxcg&ZFMR!KuYqEjpPSBuPhTka7;rkDLT$`J(3Q&KmBm17LeRjZ)uCIS^C4bG;mIr0V|o-~a!*NFC`Y;sYEP4?=%N?gQ_jOdQ%(8G_4T-U4k|%lL7}~_=4*!_ zVW(D|Y%__Sv+!WuY_o$Rz*^rX`<^Pa7&Gl(^K6nCHl;qFxBJq?-HYGp4&_kpFCnGg zlozH#`pa`S1rop=FuFTG#eOHV95+v)!+JjOw@KdiRvG$&eSWM^{kXu@0R>tpJ26=( zZ?wF9tNL^5J{dF50>WhBrXSl34n zSXOte|W0SuPndu$7=0 z)K6Tu;{e8Y=cI$*u3}`W*NhQ@0XuO5B_9S`A;z4DH)W>e5zVLzxXdIYz;}!7IO^Oc zgDeY;Ra3l8K!t~gM})`L1Xgcw$e(Gyg@>ni2M_N7?ps$cCl6~|YiCn$Zg(s57Oe#b zW?s^umGUtfD#dR+ldx|Su!wvBdF0{PgK!q5s#i^>ZQrc>4j=fv4DTUL1ny)q(+*Gi zJ`oy4S)ZPq9W^fnXF_|HX_27(cmC zvyfSysVAcRwao{5IXt=}+;+g?5G-u$O%Qc(B{~(`qBojv2?R;oA=gz9OzuoTs7S=k; zAF!QgMIBP2oO~so$(o{rKjztArs;9~x>i1@%q0n6v?IGhuyBXGSnlq3>HH8llegrn z60LW!TqVDLChV|&kS+lst>?wcubm`lSpY~csP8C6{WMMEPdf{%raI~ar+#M;yBr0uu zYcouT;W7*GUcyl=lK*<+H(JWkWhN{B?$2VPd&>GE4tY0h=Y{r+HhVb2YhT; z(CXOWmm!yw^If&4GW_TrQhypi}}^7KCk`uhB9&=3Lr1N zfLP!~WRtc7CP*yZfRLC5kC))Q7PeIP0zu=c?B|QO@-FL7{ZqU_Vkc9FM&_pbEh0zj z4QrE2W*NOcoplsDKCS-t(ewet-9?DMD~$3+?B4KQJiLC~asTg))7SY{|6QRgJttos zUSV13XSl#CX#M)H_h&*Dua#o_DGlaSD1x!A-olT59TDd1l(F|-cz9-H!?pb%-oI^8 zrW+OTKHjv{cJm>3|0*G&gVrPGGYz=mNLiCFm5$GAYFhlf9E-KrJId6gY(OTJ^3j1+ z;cT8kq`_ja%a3x2L7@;w&SapN4@ucT7nA$MH4-(mbq@U*++N zSbN#SkdOf`RtWrHnvF6O`D!yla1 zsto@D^~2lxHE-Y=zVZf^rBL7w`8>F*c#_pQlKZ?fR#hrv&VH^ksDye+et&}|Yb~xQ zM^FL)8dH0mtxE1s#r*kfO=a!(J^kf6qbH(IS!Od%=#w?*ZRQ)fS7~2modNwlH zXwp;(e`ghkHF{%vQ0Jgo8kmt?H-u$u$;c*zDKI1TN7<*R#iRn?@unt;p*ifFdPPdU z6n8QP2c3L2cIxoMDRi<&!S+vs>V*s5#Vz2I(TZp_mj`dfC3)_=e#j7dF|Q>AvMYQS zB0gZ}{lzw=l}@*)#n(84Wo4^OEKe*#t1XQGkh0?{@8MK~#m+OO4&Jx7zuIq>b#5^w zs}F^L{PeVbklK^iKw&e+#N}z|PtWk6jkZA~ZQ^D0{*7S@S*F_lIWKQQjprxqHdW1_ zD57}R$&dJB>?AJ|7>!w04K?6OkJ<&&!2oSBqF1)bT~GgTO~_CdYZQ-OUZh?Y zk-L5`Wb(C*h6XK7>l(Qebbe5Aa45_ZKcC5uthl#`3}c1ds{x$*rlk}87LapxO2}mF zlNN88cF=*7Ffr0OG~(UF%JkT;{q)xRBmVgz(;7YhVIW9{9Nn#(rOB~I*9R=uXabLV zGn?_Af>zU*vLfdYen0(|0zYJu+Q&X5PGDy6^3AK&>+UAuj=ax$q{t+{32-Q?YqCDW z#?+7p;*m5*W{^J!iBQOF)E7%nfw}thT`0-`I&D3}HG8>I0OkJuQvqu4>IiNYsb=~V ziSZM?rp>HU+2#A%+t!y$_*nJ81L|q`ThdJv>7QAZb*IXLN?v^JTYNo>%oR;>+x_#L zrvEdG2s#?N5~ttyRPPD<8Q3St%9ePscI@KM`Dc7x+@q!werVoD8J|#>mIcxtleKG;GNv%lvraKfGk8$U$H3chucL{Dj+#Vjq!R* z(w`eS^#0`D=1z!pc-{T4My7MlRA;SVC zrb774{>hQ}RM%AjeJadk@SCxN7aXWm;Qdb1>g-yE*QSJ}GYb8V%H`GT0FIB(Cr)PW zn_!dD0tSBs`{P;42bI9$*Y+w3%(Tu0o_m>F1C>?YCZ!Sn4utOX;5)+llSiLazVS&) zTT6%}Ud(gq#uMPQ9i{*LI7GDv;>I`FRX&vkBCpG$q;kNgwyrRI6RQ_HH(aA)I4;ni zPqLfe=yTeYuP~`m+tS!GM0Y}>l<#Lo{L_6kBz0%<5gzZriHsC{)Qj_^gs;2Pp)XXg!HEAY$6(O-kMPP0VT~ zS;~_fSKCiW67mr$X9Plvrm9SQm|EZXWY)&uL0O)9`U#}X$v9oG_jFD4{>x$0#iQ^Z zt$oGOM9Lk7rL5NhJ-J8mtL5wKSevyaSri}rmiHRT?nqCoHJ|qdKKBEQzD_s703NyD zOBl`X-+SE8c)##wL%)OG^6}IlXyc-Z&AbRf9y~#aAd9^v89|@n64tA2>r{O2l_;@c2WS!{aWk^yReW=d43*x<9$mZ@L*bz%QBjobqz5n!Ympu{q!LhY}3%g*-A9a%f7b~UQ?*$!T-(!%R=!-oXJZB_~)3Q{_a zv+?l>wVpMk_^)E<4CkdlEfQV9f4}cX<&RHY;qLb#IAaZGtNo8rZtCIbWx?&O9jEBh z`I}UC1DRf-@)0gBM zT~5KCdoKI3OLscXTj8fh4$mxAqLT{ns^$_iSz6ky-5Q$r1dbvP9D+6Xyh9`k!6~!1 z;JRx_Uooz)I!%&H>TT-^wru8eNZ(9Sz*g`VNTPkmbf#^Li<;sse5%|3^>Or z_^&i-LKSdj`ETaAeXXqjPs#u3DErx7MeOwTKok(EN>^x3@OGrNsXOr6c - - -1.1 On-Chip Components ----------------------- - -+----------------+-----------+----------+-----------+-----------------+ -| On-Chip | Power (W) | Used | Available | Utilization (%) | -+----------------+-----------+----------+-----------+-----------------+ -| Slice Logic | 0.032 | 9 | --- | --- | -| LUT as Logic | 0.022 | 2 | 53200 | <0.01 | -| BUFG | 0.006 | 1 | 32 | 3.13 | -| Register | 0.004 | 3 | 106400 | <0.01 | -| Others | 0.000 | 2 | --- | --- | -| Signals | 0.034 | 6 | --- | --- | -| I/O | 0.954 | 10 | 125 | 8.00 | -| Static Power | 0.122 | | | | -| Total | 1.142 | | | | -+----------------+-----------+----------+-----------+-----------------+ - - -1.2 Power Supply Summary ------------------------- - -+-----------+-------------+-----------+-------------+------------+ -| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | -+-----------+-------------+-----------+-------------+------------+ -| Vccint | 1.000 | 0.082 | 0.070 | 0.012 | -| Vccaux | 1.800 | 0.091 | 0.078 | 0.013 | -| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 | -| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | -| Vcco18 | 1.800 | 0.451 | 0.450 | 0.001 | -| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | -| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | -| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | -| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccbram | 1.000 | 0.001 | 0.000 | 0.001 | -| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | -| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | -| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccpint | 1.000 | 0.024 | 0.000 | 0.024 | -| Vccpaux | 1.800 | 0.010 | 0.000 | 0.010 | -| Vccpll | 1.800 | 0.003 | 0.000 | 0.003 | -| Vcco_ddr | 1.500 | 0.000 | 0.000 | 0.000 | -| Vcco_mio0 | 1.800 | 0.000 | 0.000 | 0.000 | -| Vcco_mio1 | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | -+-----------+-------------+-----------+-------------+------------+ - - -1.3 Confidence Level --------------------- - -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ -| User Input Data | Confidence | Details | Action | -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ -| Design implementation state | High | Design is routed | | -| Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | -| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | -| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | -| Device models | High | Device models are Production | | -| | | | | -| Overall confidence level | Low | | | -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ - - -2. Settings ------------ - -2.1 Environment ---------------- - -+-----------------------+------------------------+ -| Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 11.5 | -| Airflow (LFM) | 250 | -| Heat Sink | none | -| ThetaSA (C/W) | 0.0 | -| Board Selection | medium (10"x10") | -| # of Board Layers | 8to11 (8 to 11 Layers) | -| Board Temperature (C) | 25.0 | -+-----------------------+------------------------+ - - -2.2 Clock Constraints ---------------------- - -+-------+--------+-----------------+ -| Clock | Domain | Constraint (ns) | -+-------+--------+-----------------+ - - -3. Detailed Reports -------------------- - -3.1 By Hierarchy ----------------- - -+------------+-----------+ -| Name | Power (W) | -+------------+-----------+ -| pulsegen_v | 1.020 | -+------------+-----------+ - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_power_routed.rpx b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_power_routed.rpx deleted file mode 100644 index a8a96fdfa32f3acebf9d691526891513cc125a26..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7651 zcmeHMU2Gd!6`rvjXMB=0&XklWDD)~2;dIxD$B9$dNt-_>ZI(2S;xtjWDzlUEI2qQS zG2?MaRw}KwT6Tq476~3$khVyxvM)&dO1xCi2at-iD=h*952*V9;zwGkfc6EDDCf={ zJ9g?M-fR&MB%_S)Idkqe=bn4-`MGm~<2V7j{n3atG9dNB;*`9k6-zn0__H3415SiO zDOc3iHACL){#`)ef?O9zxR4mbj$E9Zp+6Vt?b5@C*RMbQB=}cURX6NTj=M45^Xu(% zV}03$SI&m1NQ{za>4OR30=LMy(n@KoGn@V6c+bsGTpsIFlDAxGNd;|FOHhGw*hDGkLs-+J@g z2Z}Qjno_j$>#x3gp!6UF5FAY?>n78k8e$*2Fm2W>CC{el^AAQ6RpOMa9x94oJik1N z?_lBwqdVfZ-Kh2`&Ia&4v@cW^*w=#h#;UZZMVS+cbZ>d$dK&fK2)l6vkGELvu!q}sY^Sf7LA(2~ySsz&C`bzOBlKogvR*7-}z zL@B!5w{Lm7c;bgv@J(HsJs%`UwSx%4lD4iFZOsaP^Cy1fHA6f2Q}%{t6%mOi_bH<} zt*;wOj&eA{LkoE422;UnNSwSzwR)ac(gjV`v)d%86;YNfnxEAyGF8f~Yc?qrbz}WW zQq*kOENGTuBPpw`mvNnT@}#x|8)Nh7*JRu$VWp6mS=56jTLrJjB+ z5{;<2_2Eb)EQz3+`MhSSx{~W|wzmtqs^oe<3Vy@d#&=0z%!tcEppNzfr=DQQ|fAUj4!!)#uR1Do> z3{J#tQ<6w1PHdB4V_w-td-aRKyq3{Rc@mN$y<7O-k8^f!k_aIoB0M8(1PXyI;RY|f z#0y{Mh3+SSUlsY4Zckyhm!CZ4O>N|3)cZP%VCMF(Hq!XU8{?Pg?bI&@;69HIpX&_@ zKC!jU^F;Gl&_mb61aiE{q1px6DnU*Ya<0GVIU5Y1OmGr8e&qCg^}Az1FYDDI+;1*- zs{nCjjsrB&+abI|h2DAj`SBfW9yt%c-*PtSN2I&-{U?!wMi~zRatM7J?RCfg%Bb_U z2`bZ$oS$$0cr?hP%#Cs6c#w1L?O%=seUvjH-tnW_Kk|cbdAp!N%XH3EpCzG-7oO_} zV8nuw*Wfr?jbur&HGFJagT;RE_mKNNrO%}He6LVy4GSfW)mONM<#x+?3kBrfj_+9o-02cmWz^HoqI z4E1TY73=FNMN!)WQK>x>qMCbFp2J-&)158rM$r~idu!aF8UB3hnbCxhy5cIE2G8tl zT~!;kz#aSesB2f*($*1JT-Xz|K_zj8B~ZyS-qK^iV{`9dN>{}TWp`M2@IqydDlK<8 zQCCTq6Wx{x@A-wK_*8>PfJ@4q`MOvu)6-puju(#(nj;?P-y^=qqi1-)@4G+1KdTrR zQ=pZF43}zhwikdCK?vP`;@qD51^6iN5;P@C`TREcXqf53%~QUJFXV}dH}8%n`~^9J z%TY}310_{Kd1q%IMf&~ikBlZfsVE(+J8U{+58?5`Tvz-8FL2@uJhabR+Gk3dp>DfA z!#`EZW;F{w%zOu<$c&+^<*>G#m%l${wm-90@^?Fy_5_NSgVMiU*57pFT}HgG9Y4O9DQI7D&X zWnCBawFhq`5^ZG-9e2CuEd8Y$qv9XBLBLeW*-_9+TWMP{GK!UfV@XTj)R49`W8K~W zm@^6`n=GRHhL%*xt`IP#XLO4_XrD`w`PBKjX_B5=GHp6Hpeq3?-W^&-Ffx~MnkLhi7p9@gV^>M;^x2~&4V^g?muh>&oqPhADwTfX zOP>7)gPZFxgQ>g#bEFS45+?_W)Q-gE?HWlrO4RwSiXqic$;lAUqNp!*<@D7fQ*fA2 zpeaUeWm80tOi?xkmULhI?*!A@n)QPP)W%bT>QK3> zy}mKWNA z+2xYG&TplP-YM*<6fNrA@}76vjKhk4cBkck`9hBPL!ZEl-|_vgD`LJ*MSS>U6pB`J zjB@DWnj$^6;+lf(Ra_tXpofB%4|)z?qEnRjQKCn0ItS|AT<@l(6->)!A0S9LtK$LD s&WBa^y9E0bGhh{L5-zMg`n-t8VT!dayK*QJwhCL1%2jZ-!ZarR2eMYQVE_OC diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_power_summary_routed.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_power_summary_routed.pb deleted file mode 100644 index d31fc60a3e1677b687f9a0c9ca2ab156711fdabd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 722 zcmZ|NO=uHA6bJAbD>?W9q96)F=h7lrLL2;umY5HsD7H`!QZEY2?j#-EorycUi77}* zWhov+2&^Yhg$2Qb5Xng|1{QkiL0k|$sD-_Yn2U$Tc@vRd+{2GQGjI2?ymugzo1M#L z&vqwPmqd%Y#NdYAmQ0eGD;#p&Y1q`E+@luZ)U%oNvZQQ!tjWAKv8cPDBEf=kae z828k>%%*aNNapyq;W5F-Cq%fUNlj0<>RSG5m5nmpe76`MI-d86;bDZ{RnnthpRO1C z#}MjOf|E!+i8h)X^$r$>lL(bc@l_ye diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_route_status.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_route_status.pb deleted file mode 100644 index e975e2c327a337d2f493a1e4db639d1b381effb9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 43 ycmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgCxfSc?JLqm<+%G diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_route_status.rpt b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_route_status.rpt deleted file mode 100644 index b9ee7fa..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_route_status.rpt +++ /dev/null @@ -1,11 +0,0 @@ -Design Route Status - : # nets : - ------------------------------------------- : ----------- : - # of logical nets.......................... : 20 : - # of nets not needing routing.......... : 12 : - # of internally routed nets........ : 12 : - # of routable nets..................... : 8 : - # of fully routed nets............. : 8 : - # of nets with routing errors.......... : 0 : - ------------------------------------------- : ----------- : - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_routed.dcp b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_routed.dcp deleted file mode 100644 index 803c770334bf01e3d4aecd874279fd62e4b78158..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 213646 zcma&L1#}!Swk>LAW@culm}6#Uh?&`rxgB$2X2;AiGh>^XVrFKDndx#z5J zFG>6CQ|cp?R9aH)Qjv#*!U6*Wg9GDh|EU^gn6=^#4h9B<1OtNwdCkq7S-c$VqZ9R= zg4poFst>EJTUJ>5d+{g-KlksLi?PGCeF{=*z;6nfT>`whe=U|lN4V>P+)}xYdLictuBV3rw7lY21EQrAD!s}3l%&4RqXpK^tF|D78!Ot81fHd z-D_@X1cSSh-;Qp|2lkG8D~ylW4%#K&7p#^S2tiyd8H6|!+|hMkjYbjk)vJ(Xn*yi~y5-((ZsRV%^(QGCbUEC>^Q0k>3YB3jAJZQ@@5Z<_Ds zJv4&_6jr|~aaG;V(xtcgO>kR@qwyzP?)+hB)f>JC3z{Bpf4qnE+xsSlhGR$!)CSYy6kcI}1%SMBTtJXg!Z~shr+ha9U2HrmE8rk>P<=IA5 zoM!vu@v3)@vl5$165>!6I8teTC!FlhjLjkd&s%Ls>lQ0Wf966;Pp(#7f7`4Qw5h&% z;fAeTpjG*=iBUvtZ)Ac21EU3H6wt&td)T{KSXnq4e`T>Sw*;q!S$fj3_tN6zl@uof z{WwfH*#9CK51Xae%6z)9+X^3A_vQ^f7oes4b0jqqRa%BGUEG{dkh(ZW*72<%4AhaS z`5;eWYsSkT+L5gQb}0%)!t9y~!{g@i#s-YCE%>yc{ZZsgBz0eZ2+>fWo|y<42{hc1 zXtm?!{Ut$r#x*3s=k|4^S9fsg&#yKgHF$Aa18CaZ>!U=r{v^8)^FXK1R<%$v(gNS$ z$RKkCM3KK_{@_XN;=Rd&p8hkklIBHFV$aW2*jN7`Q;yJD+pP120OGtaqJ{j+U2)$N zf}fr$m~P^8Xbj7V)m9rPl);4t;|zgN;OqtEJ>T|SGBiro#n#N3he!9NzqplX`9vpl zRkTp*v>q%hYF=~2`t8QLDS;P2g|O03k8(_J2ROI;(oG86*scF$MzmBTb!FD}mD#>D z8?J!_|0(7J>HyP})xVC6T-WSC_;T%PE#6D8IQw)WTQsx^hw{wxGD+oEzaY6_f7Cz~ zV%2lj5xt+Dsq_4~C#>Zs+mP0jEoo7gqXe=jIq|Yh;`{N{ZK;S6bOO_WlPLD3E>p<; zC-N4EWcaHq9e2BRWT!u2^EwVUWB@gZ&USJ?Nvd)r8%nbi8}fSAUZ9xoNMTeQOYI?Cs{>U4u|0#hlQ+Z4Xg4HG zVZa9@b7UZuAG3vjwm>~poy5-e0HSf-{pA4me;WHMWI_GXS{J%HwLUJ4^t> z_f<#<6FcD~OWN;F3XPKK^|F8RO84^=WOzbUJ(a4R8e2xH(VOtobIG`(TW)i}B)smK z0bbGmSFiX-+5Q3n~?uJJRc!W)6JmGMqm0(Kps58zf(s zckwCzk|Bkv~3ByGs(x!W0{oX1uaynE!Md(BRN)!v>Ve+LI&wa;42i0BH$ z-xQ_a%uRh6~L!0)HLuJtDnz<>5U4!TtbfW^!^ReYW4NG)QEfrXO$d= zJhrNO6A+vIu~qf4N{OYcBzpfSVUL8#$otZdWXNP?xnK8!ij7@ZrKYXTKBq}=!l3Ap ztd%xOR-TZ}iiQ0}OHBCd7lyA}*-JK_H#8}=2SpG1&H9jkrjJU%_F+o42w4}}M^0+Z z6&4n87~G1J!(%y+O5<4@8bTy8>HbKKw9+J|VBfHzKUJO61*l($Od3RzGwIsH3w|2? zCZBIi|CnrM%zevDohqvUC!3liZQ4*`ZtTdBn&2`QIZhXOYKp9rf2BLqNKM0<#w7Bb z!dv*dyBF~5m5g$a_IggX;g*O}=x`t?Ld+Z-hx^rUQx2l2&6sgz^a7c+-1*1+Sjy5U z#EppiPh0uB;2H@nJBK=5O$IvYd5vQ6AOvEG(7h6Z6AceBO_A?qEYcPw>i9vUeBVdo z3d+jWxuG<7$;!fru+@rf5y}q4G!*$6N|fd?G%ABj^jtOC1d^AoYfF_5)wAeICp!P>o-z zSeEo6?f)#N9k4=NZ?b3>`MMggu~8HQjAJj0t;y>=lA!SDp=f>O>sE)Eno3m#TmGEasY>&?OWvpt-Hh$Abia z>K(Ol0nzxs_m$A~7F<}+hCd3*e~$tG*;kC++&xTLzFM2xJ18sKL9k!i%kScR2K@r`@ZrKYp}Hn7XWt zZYq|puunEIL29xR>(+wak1d=0`FjR&(?m1HqQ_L_E!qFi#-qF!3U8(;Kbup&=mG7MejxCQ2nBGu;=T?&kuw<$H`Qt7sV*B;=(p~8Vfitw z4KGxrz0KB#)1m!phR)2+N!wWc5!BlodJu9J6PzQ-U^!X#Gt`v(6Lv7zEEEnKidcKQ zu02-71YZf0=_rrV2sL^Cjv-TyEErDnDhuw{q2(zmYd)oPk3shDH9xt|)dbWgYs&bI zMrg@K^C#(gsK^g&m!FY#m=1~(M19wi{gE*E#$6_U;i-u);U|Biid;!D*AgW?owCY! zvd`10>6<^-TbSK%VIh${l9r~eo;!-sS12<`szO?gZrs26PZJo~!9 zL8;}S9D<4#J`I8!~(r&hj- z9sV!Xz2gI4xW7JhbAKKDj*ryU-^^%r&Xh49glEw$RH1yYC0CRkL$!8liI85!eLcXp zM$0)lhy>G)Z=x>SvKh1OWoN~1cA&p(i?LAGf+%U9UlSu8gqmsX_$T--sttg{Vd-dV z%5VQgR+&b&i9Ep5G zJrrY0*$r#mM*DhA`z3<6OER+rC$0L`NS>^3;cNwGd&E0G(!N!tf~Lhj%w;n*isu8s(fjK^isPQ zi~r4Hq8up(kJ+rY$&zVJ{bj8kjp@41lYCgCWmUZs@u@7eC)9oyG3~@~7))ci&Zz2B zx_I>QevrNqdkJSH|9nN7hcG7$&FtueJ@_Z+%&nR@kF5ffJ@2d#7+%AAf&sOzHbo>^ z@!7r+x59x~LIpU015hA1K$_XLiAS$It zziQx-SoP@n)^Xv4*(|RRg$dO)QbTc$Z`x~6)})4B&+|-aArO;KR<{_;k2i^5Lfz~_ z7xxLn4F8g*omC{h_XMv3EP&&mJ+(nfp%FQE;|1}9hjEH;eZkG`CWmzn>_gdtkS1bV znA?*5g`hIKT{2P7gmMEB6$7^&$|AaLhBCZ$yKDML(Naw#g=O5L>T#Q$e1b_a{cW3k#c#y3EDYnqk!tqE2z8#hEkoo|B;0qrXB)KAVakU`?!FUWrOq(ehbUs7J?MZ6}I&_eYPRC=dBtwo<2i;FKnq-<;K>n(#6iy|JjeU-O$vcWPLq_U{>nXZq1; zWw@+7AVq^H>0ZkHj0oCu#AByY%ZNw2eJ@UfX zCo^U?08Kzqgz6S1ZxPP_C&@4TXHRhvj8p%ZX*U0~Xl3(yzidb*srr z(|S#6@OAG^KJmeKZ}TiU;H~Y{pO-JNXarX9+Q~cU23OxGc)-d@aEcfGE?9mR)#rA~ z`~E(?Jm9=g24hY;^;b2>BJk>Z7`i1xT?sb_w6Rm^R`%#^vBNK#-rcff)?+m#-i40@AZI>GS?waFkI@W>+%sdqG792 zs)i@>m=*K~9Z|hdZPp*Y_KgfhS6U||pL*-?s1(+_hoJ}ZcJk1?Y8a;A zMy~2VE9{1chKom%RE(~q5{Y2;a{=JI=mdj>bdtH5`HfCz^cH0^ddK>LHFe7qcDb4O zR2xW8j3FHHDQ#6;J9zd5jhIN3!0%Gn^P8xK?3A4IhXpes^7IG4r^gdjQ}a*V%^o}^ zUl3E;in(@3?FkxTkthXTGMh=n$j2OZQQUX5Bk~k|JLoAJSJNMr&6z4h6vQ1MQg{S* zQdWs%c7}Og&Z+jNz(^Eo)&kIsY!sF(ws&XU>U}HwhH%uV7%+mM$A>uD`F3;%RQ2*1 zF_01aF_R0(CD>aViDKaBD2BH!m&bU6`V{LH0}J3hbYY~vZML;3IKB_|1j^{XFZ2Ws zBT-TX4$DEF@%vpw*9b|2O=S0ZE`DG7hFh9Psp77iB83%bocf#F6b_nfMth=dV{II-Z+*q3cJ?AS>6*g z0UJ-7`3g<&AWZ7`QlV{y=ZGQ?KF1%=5t9rkvn=_TCG#bn(}V(^Qv6_+)@2&XL4So= zD-_aC4PWrUc<=Yu8@^J-{U90k0lD>0^=?b7JE5n@7sQ%Eo z-+r)q@)QuV`E;L*RJX~$x%l%xpB7$mZhaztdS1-tH1as(JimCZ9 zT+wFSt)8?#))0CYWd}6!V6!+gu2*RhrytUDTH%ue1s5WlMM4r$xWjdIOtRE6Xq9h^ zmT<{F@%8YP8Nt`*_QBb6qXXC2+5pmZBX2px_s@u3m%N+*JuJ(L5KGTkkYE}NIRDRK z@iMotJnJ0Ea2RnRT|nJEb#&hahoVQo7}ycy@t$&~jVdei-8>avymT%vBUIF538Yfs zf``BWo58B=nHAS(-52TE97+~hMfBhB9;r;-nUVkVYC~@&k)25aKtD`aM+1M3Kl0%X z01{DxemCaXH;oTncN@(gwE`>Jlc3<+r02)c>E^{t;)VZi z`-T6T{>9t!BJg9wv+J#O%Kxn$_%iYEzDG#Aer@i;k?Gzy;=||t(kb%veBpn%r~EA0 z{1pAZm#V!>2)x;N2-r_v^;DXCf9!O6+mPL4OaxrD zN`ADOxVbjAf68m9&UB~EP#k_V_pW=dmjv?ZX1)Gt1_T%|DII;J$$f0HYL!p47_#Eh zo+i$guZGXAdw*+BoS4%8ma?`uT3oe;WztM50fjwQ4>rUR(>wI~}YtwpVJ? zlpyVL^?W|tJ~{B&42RntA@m2XJBHoWp$68!6i+G91@pE|ST5Oz50r^4v-tr$TLkkM zoOn(h8}U;zRD{t{Q>|zgpm$+*t}$lnhONPG)f= zQJR{1Xl}+b;X4jDS^9eFf(cdk2}tIQ1Rt)5#xc+SCH(FDJqFI!cab@ze84id>7q)R zG<%cir+z2^e~2vUuBkxa9A%2MY*IJ8@Kkd%I%7I4J=Smz+62nAcFjIT-?snH{Zq@b zr-Vc4oTf@4JD0C-U4TzOdq4}Ys0mg`*Xi?a7`gTE!QSz}YzE7(llH{BmUFFgfIK&~ zrH&*GoP|zRxI^3+!`{QKvrl8dQcnFZBu!kHZNX1Kzte5U0N)3+5Jf+<2}`^o2hx6= z8R7O0{!g79on!+?pX;9;*&Tx+t}ng5J{)uf@CDr5KKm%2{H_)!Y+k*yU3X}j<5dsI zD9?52uMWnN^(){16^k$E#7P#t90KE{EjG;#8x!vaxBT^Vzh;9ac#bc;nwvjFL#$E! zEhSR;mz8i4sZ6rgy6dOgQ*Mu$`u0tP*NLZf`eKxXRi=eP%Z&J=NV4Sh^S=5|B&=c- zP9fV^&#bSpShp0`6x&>JL8DAHa|?ZLVLu zcDAllatXuX#kh;U?~1>B!St(xkE9otBF8eUa1Yrb)ygH0P8^TI9kyY1nhIgdElBk` zkO@3p-bD20C$v*4@>m)s;w$DGaJReTny3OZmJhGFxQ z^okq$MW{7)M?;kbN8quGC*@V6xoS~DddR5~I>^_d6Oyh!BV zyId7j_{T&7$^zK3PWrV6EAC5bVeT0Da8POU{e^I*@gHRy1(tA?cZQ?sueC)RU2OtD z1mdr4z8S;0h+}uIod}RA_8ar-%j%P{D_w?AveEu*oEeE3`Oxw+L7G1?!zMV~^|Xhj z5L3j=Ua0AR*U$*H(c6j!PHF9gd(uDlJt6~`C)N? z(sh=3p=ZzC{0T7wfeaj<-4x!A<3emxd=om9iJIu%oMVyvw`D6que+3+3hq)aBk!VT zI3dCNsqoK}fv@;Tded9ek7HvMOg%t2Om{RlnJ$#R%O~uDo?9qN`~#V~ddj>x##0Mq zCpVIoUb2A|rWXy-n}(w0#?j+6fM%E_wbhe5=ot^i(?GF-r*y9J-3K=6`V4>ri(~ z3-s2EV9u$I?StUS$6ASbdZZMg<}=PNObrrRm*P^LeLa0z+G*|D;a%Zq$aN^wA+=~d zabGfu=g@mvU=&Hia+!K&LL3p)t*@YX%;lPPmwpp^IOsetE3 zlgAt2>zo7AUeQ!n?*!m+WoP}IRWM=zaCB7=EA$S0KmWM@=(^7vq9sSm{pKOTWW)nt_vLi6y+L1|;=NOa<$QTm$9v}r z3qb12>A3{eqGfx1V0%rhAVU%XyvEbU0yyY&4n#%~ZjP`igkSQu^nv|l*GCpGBw6Z@ zebgNVm(p^Nq9&te2m#5x0i=t&^?2{Fc<?3VajZ;7>Fk#@0xG{k5@q7 z+sCUhW#RioQW5VT06`@YBT$kRF;Wx}Mi1z~Q0v4A_>VIhWV8 zqn}nCJx4*(kK=!cvKspTP9isZcR8Fk;g-< zMWjFjz^l$9`tzGMAL+Z8OfKMV#A+s%G*$$_Ob$$mGgcn1rrnDU>4=ZpgzIy9zQVj3 zauR;>Jsz^V8rm6A{za-j&_){|vQX@Mz5M-F`CaUpy@(+DweQwUe2^-HoqUW|BpL8p zaJyT)8#|wvc)JUVPCxlPGWCm8Bo*-L_gd?HjR@+=FH&F<;MMi{it%_zBYGk+wwM%1 z5MZw^yqYw{vtO@xz5L6si9W|+)GFipX)V!MUgVdbaO(Aw0mF`)GC=6{x>P21*QQ&e)|2JqNJ%*wf3&0>nF9*LwWif)_Pl^d{R(Nr6)iB{hNKz<$sZb zo7$m|`+(=ok$V8G5A$!?A2-M!G^|tt#J`8Wvq;{O<@cez1s|bYo{?fo`6|u&W=`zx z5g(rLaSx^ODRsDHN6*@3N_x-u(NCJY>_psXWTxye^(RhJR#7Q(x@bL3@8)a+MaBXG zVm{slKi;6Gi?x^}+D{Mc+U| z<;Uj1RzOd{2mHqyYZ}7nO}9u;@9S)cpU~T5*6pt6Z0x4INLM`IRlD^2=Ak`sBw%wF z7|q0GJ z+nPX{Ttx-m$T+e+n!M+{FX#HxaD?wt4)$E)c8Tkd`t)sRVvgj)X! z0Atf2@|(;r6IyIpq>Wr`Nj`ZdEOx4ieC~0#XfU6j_3g`wDD)tYU@7Cv<4AbMXqS;D zj|S&-UOKTR=fWq5vJH>ux3)UpQ`p*=>oB?sDnE+$=a|0vxZTUx z=acgSRxPIwpiZZdT6wdy&E0)Mr;qQg=^f9TSFO(rx>2Xl^EWN0*WKz)pIvTJz0QG; zC&U)%Gt4;s?%59KO4EcD;vZq_x`P8qB1_3@lvN+H&sUtsLw{G$knB55dE3udWR!zY zLnz#1=~Te&@lYHf>UGzm57M`vW4ydmn(1rpR^-zj_a_S)s`Vc6)yU$F9n1Q>g_DL=o%8gx-a8W0C~HF>_OyxonRiFGO_b+PtqVM6#& zHNXN~;1)}6kKyuKbx;uj0<&M8)AntY&WQKUGF=-+82$D~qzS4o`vj?i)N#L03Ad3I zvs8W9G_vLKhNu|_k5938^j(tl71D#noSU&S)AjBSP0cVpSw6eO1N^8b&YXmv{3JGf zu0tPpImlDtTO&&AR1p@oa$IaaGCu&RAAr~oK+eZI`^OvR`-`y~FSO8yI?6jD3e4wQ zE23A>V+q^?elQHYE)u=+ZeN6xel*8E=6tZc-)s&Ayu&`zdcGDYcV1uT`2Bqi+UWCq ze|%A%EN!RJe9Q^ZEfELSYUbTOl`9J~XhV2QAO4mm5qUn7cloX?LVrG%WIYpJ0#9VA z$hSXQw(*>&8YkTpRAUq;Q1gom;o4eDjO6O$-TRrnoQAbFMsNq7p=`u1IbGt__}AJy z_U?xObgXuYw(-;D1>h95ApO-|BXPZ2!S-5Dz+Aem?lBbAPSd-er?~`ynb%hHNrj7) z6_dTRPZz#kfGbPI{5}s=+n!Y*edCua;fAfm6dXScTkrzbhHoDNdY5~_i$VK^&?4B4Tg~mVh$PB- zHU6Y>m;Gu%v?}m;{&`TZi>g7&{+DuWO>&pYuz6518Ik78bUnpP)Q?yi5K_i;;2$1I zTeGAc7)X^EerEJZU*3d4JO#s#fC1D>5~vlqOEb{r+e(br9zD9A6Mwp%SY43sKk=vh zF6E{hP4@L^Y>~F|D`yvf>5p?%W#y>{)Kqu+P?mo9pNyVv0iG|;%avA-t+)C)7f<3K z{fX1>;>MkKcK>i@Drxg7`g6wS^X*{qqHyQ+aglPYun=vFOPKK_=pHU$fj*tep28E& zT>9xlLvQPE+t1rOC+~KWv=fxZMO>HFsaf0@BUQ`C-xO zlzekesv|JpZr&luM^HGk@{nTupe8etZBi2Nfa2B-y{#3_uX8Q3CM~k2=;hETB*v+v zoxN=t@6A5SglCYC(2SqZjGNF*h|r9a&`j&sTZO`lZW@fXWZGbH@nXGN{sY-J_gAHG z9O^^_uFJFQgV)MT;^}UlUVD@;SPkgkY~g&t`sq!_j zYiRU?F))~p>)R4MaH>8(;aBA3^!y8~yT>KdoY0ZiTj_2%@$mCO@D85#7iOsY1aK{k z+8P`K?Ycf2+*C?jo4Q&L9M%9bdyEy`t#KI3PkDGX&AqhQF%ws(M-zSd3Gwjb?L;#`IF&boE1NnL7YhY%(bU&fLMxjWpKf^NZ7dFN-Yuys zPy9AEZwj!pre1sj4e2-D0rhEGuEHXez_bZVy}qZ8#-JPZH;+Xpwa;Y(*fZ7X*|Ny) z?Z04s__K2NhmDBPi$75)1xRF?op6$%TX{=l&ZE05NcS%jp&#PuE0nh`%QR$zdN`gb zY1j57Gc>N-Pz9n2r`8UBs?_2%^`+S&SL{_ZIV~t=DT%_V-S1jdXb7shp)F~f2^J#~xjryiU#mAR1Xc>3j zId&VouO{%}?3Dp4;)dj(DHUeG8})ys*a7cw05GWcW!vWo!=Dlv#n^o$@wN(^BPKrO7tSVX|# zFwjKxR;sS*?sn8OeYV0+o8*wsqAs80@XxXU6Bq+?PXRw-g6x(QC1M=zRY7CH+mR|5 z@n(lBaL_LYrKo7l!NG*b6}j3b+s3APjde5_h3J%K&{9m&z< zZ@m8rK6V|otwtd_CSD;mmR~6~22m{)%0d;*3llUQ3Wazl@2qdiEeIB|+tf(ta?Y`~ zz|!Xcf(Vhmd>MOu4yK5HE!w~4Zz=nazpRNH#MaI!o38P3Buzi3ny423P7TYGKal)c z1%>EgxUGP}G{@Z|ZivYgp-@AILD+^ANl}|O_74;M|H9;;!B=pWOvEf&nA!Rq?l|oC z)_FSnPNsL+GtDB?zaWp-{1mAnAFdss3OWBACK>M~YN0bnsQiqNgVB#78NMEi6nTtB zSVN>g2lXN?#B&1b-VQvaXKfsBwg<=BiAxa;m;)`)76aTGR+aq3_B**w1EI1dK2H8% zuuz{kZVmZy&GJZ%s#qT4(hMjvl>ToNPqQ$|V(ogZdBo&&@P_ksxe>6Hd&g1`@l(FnAITe4Ng}A(vQbe^3{f^38RyepG5Y>4^7bn(k(p zs8TgOEKkER_bMrch3=TbGW3aK*Sam3XtRI@)r_D!QR?rF)s6kqo9oAG4AW(giu>)= z_s7IH$K>~b&IUdXHkT#r+5kS!{eV{h0{SX!2mRvBI}^p@4-^{b?asULpt0=B<3116 z=9jUzC&OhXTNg&aa+K{=e3#wmHeJ@q)%Jexwm|qPv7<9zHH&<>KL6UvHV?{>)gCfS zc2)DR=^Oa+td(UNRhD^G<^&r(?fe(@0!3yATMKo&jFqK19(i3=Dw#w^f?uuqCg~vH z7km}4qw-w1*_rg|UDGuK)(--#gy=6ShE|E4$SH#!2H67#?F2jm2W57q@nnezD1QW- zQ6*YOnb$oG_fSg5O5jk@!_>L!+7O}Ww)K5=Ww=9M$5FkhdJZxsM;8{{z+8B_EjK&mu1Wgl6Kq02Qy6DG?MPfGfeXN|ewH4)jrH8GCc;=@dT-PdF8WoXa~25(@l z)C>Ga8K7z1$PYW+)L^@cn(JUQA7&b=r4Iw(5Zq$VMTLBX$_gs(4 zsj>Mq6R8<79f>flLB1Tl`k527fXd%N3*xFQ;D3z23$5dX^eWr=@7x3VLA1ihtDy8m z_FL1a${nR>V7pP8QzXQOheL-Viq~S}F%SQi;bNG+R(;(njzzWau&sVl=O6M62i5zw zaGdymxP+SMra4RVa2jhW`lSKc4We)O-#r!j)&lwm$>U@zMxrB(@&zla1x)xl6+={cgo2mTmV-8yAJ?UYy33!ox9zwG zOinz;S$zpBbcc3?TJFo}z-nYai!Fz6+>YeWJ@v(j9A*_FKqdi+DyRUO!AM#3Q|d9YReNN$EY=#muA*ofE&2WET4v8C66G>yXw*)|XfZhd9S zM&pN}QyYvgVit?lYhh`(#Zk}gTsw?xz~l-E>44(gdTUf5Iy99VlOskf5-b3K@pwf# zdBmS{v4xQcOtKLKqsK%lRA7ta=n)--g8WPT^yJeN6vQLBY#U^%q#~2-8g6BxQ#Q(f zFIbc?Ms0o7gYC8cQbS}1z22Q0T8j#<_uMWO={RToyMO>%&GEKgDlmz#vs#sGpOenQ z78(>d1)I@PHqz-vV}57v;fpx_*2uPP{S@QZsB+t~ebq=tv+@*U=BlVly^Y2ejwaiu zr5!o@QbRfWA1bC9ID@l({2r#9##%9Qzw&u$=J&7|K1Cyahp-T_u&CyW=uA=H>yXA{ zN`vF!P^|)lB$tz#?4MlFXp49ZYMgTfYpD^Xo6Sij)X7&TWXhqUd+{Q3@{^qdqBriX z-FwL9suWduzc)ul2mMbjKWF0Z&@(tkP;6O|ltbpc48_VY>PQxzH7&QtKC__au|R@n zT`5_R!>n4+0%cRom~bQ^xX)}PP}Zq|Uj)0SQD8v&d$&N=mzpwKyfbjL3axH+x48)K z-uVc(-rBis9?V^xlZNP`GH;k@_&(7SD9BRKs4KCh2&}-6<3-{+)S^WVjKxBdZ|u*i zU@G|@&jzFW+*&8v#Tt0;&44xmBEh-`ndYp>SA_zKo*UBslwz*$=Y~yg%c0u)*HEZ& zNpmJ9wP~T6lT7xGmU$%{r+1$mB9{smeQ&WQXoRBktgLyC7ZzqOPKxZqM;6ybK5gdg zQ(^l&X&wFf{74&n5H@Gyc+p!u`xqrIP+u&)JH3J^9{Xe~euLse{Va zm;kT}xSuqtl*QL%w2_)G%TUd%)|=og(|V68GlY;Dqf{0`L+(`U!#Z&w=_7%^N=@1{hB zTvFWVYFAs>hy{_e>!C(^=w+!|Aty!Hc|N3pDxI;SB^%OY8W)skWe@0Z^Dl5AC;m{5 zp&Qa)bS@UyDm?A*7TURyPS**-#1N-)lm3%rMn%^XW4deOWSlIkrX-b$&;^kxV^4{y zJX?CUrNZ?E3|irHTU{|l-S%m|9pomzn6Ct&BxhceOG$B*DQ5f@v1d(SJuDEmwMprM)# zZY!OObj}LT3H*ij1EfKlH|CJJw1|}JN{}jLS(w3C&*lU-3Jt0&p4pj30 z+{?96>NLDFr|i5thg-pS2hFJD-!mscpZQFniCN=(Vuy8DzDBe?w^-upmY~j>U2H6f zx(g{mS2+& zmEsz6=(0(=-Q{oaCC2!2rt3hb!BQh*lmQMMJ^wUG;eCk-xpz}lG*O&+2y<0AQ5;gu zL{a zWzdY4q6A-aRgivRtH`mF)DbfIQRCB#VR9Ej-FpHrA2MH`4Ob4!imqkFx*RPfZGx{| z8CwHT>Z`$!3(?f1s9+#5nIDH^%#+ah;cOdgf3SYO{wbXBSA0>}6kRU^!ZKGbMy*&ld~ zS-}xoQwAEj0m_PWd=u&4Bp*Dm|W7EHWOly31WxY@kt} zF61kJ8%%CB?R>XPPOI&8uBRW5?9q(@g>1%^rK*Hhn9(N?Ij3fw{_yT z6ZHZLqz7evw@R}-Yrm|Jt$P!2*O}XGb6K%@6o0W|HAy0H7p8U~h`MKKJA-gkC zNhn5zUzpErmS{FnMJ#=x9P5G(@sTl!s{3>#+3fL?8wek1ISo5!`wghS{0l81SGj?k zzVPzVtd1g#PU0Re;I+v?THlscvWNB1@kBDG3sW{E-#ND7+wf&{n)BS=ubd z6?aeT+X)k2Fj<;-!z^AUF{_F*@f>GwE**L!*D|-xU9UWxt%D`8i0o%ve|yx(jzKSq zvF8?L5f_&CZ$deNCBuGFx0(0xsT^nVcW99%BMuJ)UVt^`85wh-YXr#otNj&f-5Bb# zwu`XiI~phwjAesa*089hm$`$}FN!clN(ASy=-QjDXuHyR%tOQ`DPKo6X=KE>P8H@L_{TIvT)trR_xCu@wN>w<5H0Va;LlZhcpg)^H?LH!(CvSvc zcs(N}zmXn_!D^K^S6VNXm;Lw#moh($&Qz}YiB#oAEu`|Y^k7%9s}+=Y!}*r2wuue4w9T?KKXkO~2pa?FtKb^lVs3CnSZ0CL#%YOq33QUdXjFrN z!Y7|&Ol98o$Y$?{FEc;_TuoCE+m-k6-=LG{rkWGj#*IyR5g7kO1N6hKzc=`I@j^C% zWoX0mXpC<3bmwwAgE5*lt+K>bui%x$#0=~LEa(6V88C;^wP_kAj1uwv1(l(!)uZ|n z&+|o0vOC|nv9~-UdNdW^d-k#0@YOFr3zP#V?AmShh=W-JUP+aLA)CPlRcs3F$ zIg`6f{OmNC~ExQQY=WAovgI}w-ozVkqz_FAU3b0xG~d)>Z`B_u)@>I@Q{@c!9vYd@i8sg zk0M9#5JhSX=_SDmX2?@9wr+%VZ~Ypk-$6uUedYQycd+uJE+IS!B$+A#@rL#jQlmg7 ze;CM|L1547vvGFBqZu6`7E3Zy3t}7H8Z_yp%ghLeFL168Y&heBqh#Fp4aPwg4!^5& z&@lX&PsAjMZ!%{MC2H68sUR1uSQ2&6rM2OEFG%jhF@dA~Al+>-0AN9Y+F*7;Q;Kv1 z)w9X?>s;{@4GZ-XpkICeWTzDwCv;AO*B#+I4G9r%s?$q zu+l_vEPVKNyIG`4;6^9yHOk0xRrt8kifB!41<{{d&AR5Zl{C|tHM}Otmu)oTo{gIUYe;7!d z<#GQnakkI+(`1c`_G{xV5~9bzUjpj?xmWkU{``+Pj{g@{r6%^R38c?Ja<1Y0+-`~{ zUg-X-VQKsoG_!3#3C>%BWX|H1zdp3%OSBPCc+~j+H#Ulf{$PLfbMRI+NTo(L`$uU* zy3Jqd8Iv#@>WXQ0`v-HMxT%-vSWVndP~@e*9mCZBD~p+)UiPQ_ZS7w^l4sCeYxx)? zA~kc5IP3p~V;9B0^2H$Q1k;yRzXVkdv6mcKCq_pw6^lEr2W@N5v_%zpSnSZ);ABy>Ejn0lh^-;Uanik#WMS34sLL9|AqA`19~k|{#Ci^C?!8V*HWmp1 zuKR}?()AXkiu%Sfb)k@TqU@L7=8ipr#g|f231kkd%3zd^@COG&MIaP>F8NAfH5Zqu zI5{?(D5Ls$V182t%v=>-6ek&sY9r*pOi!sSpYhu*l8$#@-oYp#3b(eA}V!#a`8)GJF6`cxeE|I)a#8qKtKM znPaW2H6f$qG)$2ed3flcV7)WN@Yz;f_Rq3yc$wTGjrC3|swI zhIKFm>9nnge`VM}_`jvMZr=O}#$UyD1ta&IgU;!&*{&4nZwAS-cBSY}41ZO6UhKcm zO9levhQ=^xV*ke})nS(odhRy3CqT|}qec;^X)XM+7%1ykZN?YKKRMmnWJnY zaavGSEW%t4RL>cap~}@7smJpUH7c3a@AWBDkn5G2{p!8PPxg+(iR#`@AQ#$ApnACA zLTi-Hdkx$MS7AQLdvxqC z9~K4v{U-FV*pE8BG64lfeS1<1uGjZ@`MuUwh}$G-WlS%cPEMK`ABJr|>vYxJb@3Vm zF~Vj-Fihn^s8_}V-HJa})KF_Xtq0XK>)qsTz{>gg*Gf( z74XjxhrRihx+8cZ42Z2^tqO?^Wnx64j(1=NM(`c4k!-g(yT%n-OVd;2P5SLb_g?d~@z z`dx$(U0WLfmN{nqO~+5#n%r$=w7g#^eKiR40V+7fP~SVfCp%&18&9-~%)FZ=Qs|4~ zu(yZmI>0qE9-(NR35?8UNvr9`jWiJ3;U<5OL#a8x+kbHM;$Wca``ln?@UR_7pEXjW zn44Owl^~m4(?S|h+eZO0d^CmzYd+2ueXV&vv<4RQ>pY#4|Qs0rkjR7)rz zW@QPWO8M7iiW>?Ajd>zwoHIF@;wd&Ohm&f`wQ5nA6Y_6ly_1vB6QwD$Xnk52vg^e+ zmD6l6IJ`Mc?oP_Jufeoy-h(NxBaO>@%rW&&O(fOXjZijOQ}s$@nm4F$FAz`=HrhW2 zVA2g+KDpTe^yw73+@z=o6qt>n5W1Ut{f17&npZr&(v4h@?3Hp{1)JNbl>pd+sjp%? zk*eR`{20{Yo=D{?`<+(_^5v~C!Pc-M<(m=t3LibrUug?jXoVnuWbHj^cnj2uo<2M( z6Eg~VwA9-#B4Dh7Y>gg`Dmt}KuqznAC29O3VUCZUvCqR;FU^7vJCE=je>W2j^yowQ zf>mid+Bo7)c_u*DY?cFvEFAj1uvn|{gksB65sXO6Jt$-97~ zxZm5|3{EKCu3yTO!yWRS{2r!v3H9U=!M^MXTiHxA4NMwBsUOS5))bP^Ss5QF9IOfT z84=4@Q>)FZ<-4B|5(EWaca%5}WU4!ZEFf$e5Y?xV-)p#P;)Hg^30-SXs4`EtZ^OJ; zrMj|_7Imo=ogF7LxTrGuMnkX@343OLVf&NdvP~@{jXJKC?^;<=2IG9+98yVANs(ue ztE_{OaVG2|po0{8^faW7zM$=|*EjSNtja0JS?OX9ZjCc)#7haW>E*t5Va8Lkww~9` zP^Ph8JSZH@d>oC|z_jHJIF>K?3y0Y-Mw)Y^p|aT4nL!-Hh<2LrJsXtW5fH1m5pZA| z4c=rNAg6)^v=|jEegg{Jy_(UzO@iWo-P$hGgoA2+$X0@m7f`EJ1fhYn67^2>8!IYV zh|x4*NlN?EN~4pJrNp!kl1I4thW8_GLk&*zDw4&VjR(mZ+zvbkY(7S%sF3{gwhYN$ zxs4TQZYgpb8S3}yqwsK{3RR$g@iIeCFK-Tp-{$#kUcbefx(CzCRnRk%y3sTSfS76a6?|Kp+-H}L-o7SMw$+z zOx2wKb~kX>kiph+nvm4ylF7AuJ+K{^u%?E-3~k&%p_~3#1HCZc`Vjc3>uGAE0Ir3h z8L)lYlo3Ei&1-ws*fWK|z)MpTHy32yOI9l(jdyJcCk_!^z{;ly1rZct$n<|F?c9d- zOb8ILNB%N#XG~^5FL1>FuWD=t z)OU<46@#Y|^T)hB+_Ii*^6lWa*> zP>X2p@WmB7jnL+8vLNUc*O3366MVD6v6e zoo0hS;w8BdP@ujdUG)envRz+|}|iDF31s z)Lq;WCH=mEJ(!R~jQ9T~2MMv)aH!+6Obb(@%LBy4CGilv;Dg6tAX4OP;SXf4^F-

Z5@p1q}r*fyK z4xepx0y_o6MMuk6BwE>80q;E_SC#vw7~HtLrWOI~-lB!`3Iex2DX!7I%ld1>yKMD! z#v=0MEC~SeMBktHv$iolFO=W}(08Iy3wTF9Q}VOO-i6Z$y9m;@Yd?9* zT~W>Ndn5}&0^w^V2gH5Ql@O+K?^#vfEX^hfCG0>pxc=-~fsK#e>onj7tQ39M#y7S5 z>%^TT1_<`Cb%1)fv;~9<=Z&EP@m@WukPc(2I;#B$cX{2?R4n27j1oLi4NREL!9?lH z(}Yk$2vu;e8#VBddOLtvG&Wfn2$#Qz)ZF4x2#O~W8q*-`b;%K0E#%s7zO9~5$nkl< zaxamO<8yrAKfcqFcV^^==z)qlK6}PM@mKAN6`UT)iiQAWWWPxV*g;f? zt3fa@(h|MN2lobzMl5ZMCkwiJ& z`X4JjE+dfoBE>VkOExFglPSAIX*@x;>qH@};OePc)y%fHT)S=0TE#Jrdva=wYd3UT zl|ZdAsy!{qvvo2r(o;y81dLNLL_WPS?e_^GRN--@%Km(q6rJdvULGN7(bb%_STJDVyC)ZGyFpb2G-v5j^)wmOts zs}rRzjnp}+2v#LtS_|@%-73E++9JI+TJ`Ot?#s$DWlR1%ITb%UO;x7h(lwq2U8B`n zpr&@$ud|HFbJ)fo9<7x}VUa-3=cxPS*z)w5>zQum#E-qKW+8&-g3lC$HKF;mZxM=A zUv+^bZosa;pJ?HGRKe&fHOIo~LEYHr3F=1vDiHH?du2z#>^tCwAgpyjaCabhQSekb z{qz3#d!Ue4Xm58rZ8?qeZrvr#^0FoZ0~(Yyr5uZwQ+28|rZZIzmwl&M$zr#ec`>QE z0&-|DpsWeYr@iO;Wvxps1B$pr&2ctlfE?nP&cX$c0yYSV`Vfhty3%1l_)Htre04<+$Q8(Ho8~n^ zK!FEIU%A`@jr{uKmQEwIsoytcEld_vIgjc=YOS>TebIyi=!Drk0dKB71IQ<0IyR|^ zp?_!;{k|u;d(!#w4%PYOQXt2bW$YeVWj=T~1@YyU>co}351#-RQ*?iyP8xjj&0KFK z6=3FkIQn~gaPbT7?d=L&%iqb1)*D3$&45 zHfP5*X2vz<#x-WdIYp;q0){VQ!AJe%h7t>|X%yRpxI z{ij*}VoUXCnmh1pnm;&uc)bL4uL&HU9Ura4y_Kx-PldH8)cJT(JT8<$=9!NzmGZ`w zrXnIFx;@y&F3zEoB14qkXuTtN~GJU#3l6n*=U28IG|1;G~Yb?V2I=>>7zjJ*_@eGNZ5#V#(yu=h~7hXWSM z-35u@sH>Gi)?0t6jCbbrnV?-JXRqESZPx38-lh#F;WuDsIsR2JznzLv4!nbPEt zKZbO7iR*vJ!LNyLX)hl!dAjY*Wvu*!)lZgnr#{nbB|VvsO~yL5Y>RviD0gbH3Oeo0 zRcKck8-6!yu^t*$tE|#(xk{VQ)3yo`&e6CHyfr=T^;f zkTt(15t=m~g}iSNnkid^x*lE6wx|z#7$|quGG?MD?afu)Sc@97-XD5CPzBfg+Riv@ zpTKUO_40di5u3|6@$vDUtmf7VJDe>yeO((+yLyR-brQ3ROlosbDmriM=}uUiaA6C} zcQnxex1)tzPMjDc*sA``FG=sDYtX5N91TF^XjM=OmJ3tcdO zqviX-aklTv^+#qq++cxxQ>2d)2S&C#Z|Kw1b7ysyzZ+ zXD;pBfx{NWHJ9nj1MR9DG*@@uk6&M>j$@Fr<}+vadX4FG(|H0P?{Km8uMbj0;UszB zKdJsQjX!XPnwp0QYLcI1*QPDZORnn{->Va4xXa z7fLb(ygz3Ol6q-WeF0o@ z{KP0@GHkn!ORmWnU%$u*ZTAaL^9bbYUY-1+N z(N8eZG=n4W7mp)DGq$5(@@ppTL%)n`ll>S(I5COKt(-~3Xg7)>{WzQmRyO7%Eg7Ua z3C;X8?JP`e%}peGtc|nv=A+EuJhKUBU&DrG2BMMvL?MTk5FWf7er%lN?(TyZ@$>W3 z@ijh#GRjt@026bv#AM8Ac^M@sapdnEA2Nh84~t`oU)^a; zv!ht%CQnVwr&q)|ffN!hn&>q?Q-eFxoT;wwW%) z;!YQmu-Xi_;PjZ(%Ju^E-Ytb-(zvj`MVJ%F+SqS6`#WI1VroZd{wDi6(Md8e_Xzu{ z_73~%U*8>1zRlL1Eiv`wDhz#j3BW&Iy|YdKRXFMKI_VKd^Ql-PhQd>eR3OC`OSUgm znX(i2f^)4b&VOs%MFMC`w5P-s$n_9IW+D>Xj|ZL#h2uIA^+_C%K>~v*67Znf94BDR2$R=WpmZBMy_qeofcL%vW8un0<_-47+7 zMwy%V4 z3ru`dD&6*$x%ExxqTmIVCtjw~i(^njK1q*SO1U;BR|+*B>ZGESj5l>aA|Mrfc|N7oGOq~ETXs2;qeudPC zaate+gDLQ1Od{R9xn_MUKhIhxC%1KajEA9aKN=6!##gm^MX4bbFoF{o$&QC!B}k+0 zu1a@IM_+%|*zY&{&08Gyph6RInC zf%Q<48UDiySBP_RRkH2wePs)ngNevFcuAJN+i0Xxl)q@`@3-#%Y-&lR znRUbb-)ti*SJ~nd=7dob68JH(%eaVTd{ilWy-3N@=a5>e155sfe%h?>H9;;6$A&r_ zc-+&$xX!{3$4mHTeR0vQ$ticSjSnu?XW^OvEl(a{C$Z|HYQCFQLgXtdT8EdKhPMD6 zuwdLM+|6&6+413kCHOB4r%!t^^`w@<1<>EvHk!Iv@)IEk$-4c%(1RzFtSjT=dq|@p zD7(oDU%`;!eQera*Dr?5?rp|%l+3SfVLLO=xo_rElD|0JoEio7oepb`9d7pc`?m9x z%Z@Hilkp76gGa!86S0mY--1p0$o*z?ht|;+(+Y9la{-)umpJC~c}Latur7i4rLfof zdWNEYfgGWd5Lo)*$e0I@TT!f3()Kn>I`7yoPYDny!D<+XmZ2ng4z=e6iH@SU`|+gP z^N9o*Ac=S^pusYz@s35h?G>W!0j(f|<4*A&OwrZKVRo8f^!i~pCIAeq!Et?e)13rOOP2oj0s_ZIV%-Y( z9(FqYtU#`4!aWVpALJA1an^RhDP*M8$MV0PPQ~GmcphNk3_0o6<_w1fV{GmzJvkEd; zuh=_V%NjSvMvMSk;+%pcK_d|acOt(BBVr!vXtqll%i}X_l439f%fdNIb5PIX^N3@r z&^AvDM;jEz(G$Nis6-A8NFl>hbIRxKN77$h1Eozj5N(&(hX|wvALLu{0W31PWIHbN z4JtiKcN@JKystXh3@iRDdu{U;a2oF$j9amdKCy-K zG*lmphctJEd+*m6i%0bN50f7z>#THaBQ1>PX(j^5!0hf;Eikb?qjeICI>ARq65nM5 zRXUEc0d^0di6vml3MZ%36TMH~+)DJ^sZ$c3>hMlaMI+P!(RWzX4~er-jopobB_6_hPhzD zF6g%8xE&AjmPmj~{xy>|G~$plX;L^*L6VtB>l4Dt5{2Pf1634E#I?cQe8T67a94F1 zs^dF^%M3EM!8f*{I~KzbfY?5x%RrHtO*5FBn~+qlB2Jg`W{D?uAH((!`%SxVQZ+5* z)A*SLrMAa7-TK2+)6`Vh6jROU&OFw1Rb)FZO@Dg^u!++MrJtqt>QM;uuoM=>Lg68; zlh%vw|Lmn@3rs^i1NCYTWxpia6m@}KKo?s>+7UvVqOu?5MTw@Px2-c|#8ZWt<; zZPtcMIFhxqIa~H2R*bZe#Oo?oTHmVaU%I6{SJ7k&l;J3=AMKKIqek8A>K(E;n}r8j ztrW&M+HHfXIM&sVs%I{%>CdZcMzwV2{{tEEo;vgY2fX)Qh9JRRrBdL1?R3uJJeO#z z74$;G%URlrOi?sji+omkHSnm_*T7SYv!`cn#!IUflyW>t#L+A-o38;O+0oll43M!p zpnjcfhi^L~kF6m**^w-YqaBi&8gH*rP)c6hrB-eQZDkLgaHw8T3a-3Gvz#m>trJqo zv4GNK&HVj)mwiwcM?1YWU?5h|TrGqb`BvNm=|Cwsjiejppasf7OmjKzw2Xr865y5YoV6b+vv5&>U4EKY=2LM!*YUfAWFh{p%%8CUH0 zc#q{^p+Ia z5DQ(6DM&2eU7AeH;%5ZBOkK9v_`+LLmP)Nbp#w>8f;`Z6YSdpVmxUu-4q%iAq&mE^6C5RZ?-6j==bdx2mKn z$-t&eZbsSh*2tDBzq~c-NZJ`DouxW zj^)$^9@Kc&(p0qR8hH|NXV&zQu~ph6GfFaJ<_PdIpuPX95k;o>k+f1!Kq2w zGsPW>7pBa1xxF>J${r^K_8z^qikC_5<>gX)@f%(hT5b9Y_Vdbx+A4|1TAZ40Yc`Hx z9PHIj-<9*IE*;q~g*RU#hUmKHkv)(PZn|O$ z`JZOP8q)ON#z{hVso`@$tsBw&634CjFJ3O4vS$dZyoI;7pHj=gZ>O0`YSVdB62 zzd!riVl76Ff@BOX;mA(Cr zu}$Qt9TPFBK+75EhIB*BBjgfn+5J6tUi;8TY3uk}zjzLBiN0qTpCC7vpL8~W7w#2{ zfQir8BjNxZn3=X~$ki(%F`(yTmN|OVCCf%&@KAXF7K<7)=3ZjCeJkZR6F#xg!^Z^# zlWYSdLnk-SuL-53rl})$uJmj@0)PLN{Wp3Dh%rKQ@>j#db3|Tv8LtSB748U4q*#<_ zi~(vP`J9vh==l6Ll=NZ4W77j2ustlUHtc;Hu(se%lI&SN`L_Z#BW!hL*tqLA@q_qo z4>w=u{AIsjb>~c|HuJ-VV|xmYs#KIL@-Yb$`(y2l>@#)mQqH9(^UMKR)^sZg))~uEtxT)bYzQc}NDx#maWoJL z$H;Xb*?qKz`}BTl<0a-mmFj9VTH2An>Fdh2)o8f1BdgL4Cuoigo5>4T53nZS4u@Q9 z9U;sKHFk`)cHTx;8JiTll{cFe^gqP>Z8sI)d~ z-Wmhh*-AT?NBT+WmLJqr1Y4SjNllx*^mgu^Rm3`7tvdW*xqZ*So~{E0xYqgSUn1ll zs(9&~egPc>J1|o3E61zAoZ>dO_BRgB=@xGpdQKkQuCPU?o8D;4?x7Z)-h0rXb-dhu zw<-5;TQdjH;GTYz{$+xdK)R03!`r!!nsfF z-vWpfyokOD#Z&S-f$k6D(ZM=AF?28B?seKHy_}!C*6|ked%f4xQCP(3`|VXtY!q?! zT%bF@oR|3eWHkZGeeCC*w4ovV4D*F+z`@Mmfg55$eH!!!|H(JuVYXUixVAna`9%sDl@B@wFaGEqgYmu4Kd`*xCfM>$GnP?~Z2918)EfT}@O0n6r?Rh)~P|NRhl2C(6Dybkc7=c_&NqjI2 z)#wVM-RNqpUHgA)dOw?Wugb$mdGY2QOx1Y)U%+LYpmq`eUpSycFRg*GC}(o$M#v>V z)SukNz3Aawyr6I6xQKb`A3wc!sbr#u$jwJD9hp?=9dz-#7NY`Q+sCRw7i|Ja7#%js z2ZYaGb5g*+Vz}hAL_^72)1LQM+xc5yBM zGG{F>SjFv&RzYaO5T}*#qEtFyQKaZdMBuj8ee&ek-hK*}NNFTZ5xb2ZR+94?_IU;& zLwMD+v>5hnrn#A zbbu3NTh*Ef8CNA1QKm_DlS#r>w!;T&MppyTCf+kukn+<_{9&!6e!!#*GylWP#C=9DVEREWa7r3km z;Q0Cpo%A8#A?nmE;IztB7UI@=6_WhfG|I0Y;+Mj*$4F8YW@{YXQWaw8!okEs~cW%$P;qnffNarwx zGU0<=di=KHUQyvfMq6I%txp)pfrG8C^>%t)3tPKg4WB9kuJ+trf);lVz&R_IuWu1J zjqUPN{PQPCFV}rw=&#+Rm*t$h$4{hml6+JgY8v_Lem*( zsvS69*zs^ZawZOZ)?2UVGX2&v5OOPGY7!Y*u#4?MMy__CTUB zr+*oo(?8huup-Q(CTAFCCm-%Ff4)y|a?jswzvS2>re~{VU5@^o()k&lMou?bdE>%5 zbPOEM+k|y@EA6_&je(P2nGPd`MG0xtwpQptdY1P(m1@vDaB{NwBf(v}y7yXV-ZQO@jh=@e!)(RP$rCsc+(h9%gk0u3+v*duG2&;gq0L0aoT^DaJ`{WIEOzHx z>0huh24=2F%tVzEzST$zrLP&>@D3?;Lzomd+&yf*`U9mGev?o8M&G=RUNF0<{$3k? z=Mr4hk8>h}RnU9^a!WmsMM}i`5)zk9d;=(9NX!};ihLrMf`buwR`{QM3n37np;}j1 z*=JdzjP{m)sCMR?gIj-j{Wy3&9K0F{S&Mqo+#tQt|61s%uy`%>VIaY|bM#vFBWk+@ ze>-eVfe_BMl(?DCDMQ%tENmix0{86g+k$3f(6DkWm>v2@h(QBXaqT{Azthal@r?@< zJBQZSru<}628SMiu}z_~#W?P^ie$>W2i2HU0lqQ&hE+pdr)dx`)fSz+U3gZ6@F473V+0E3S$JGD)3-4RYZ_mE9da@V4 zJBW>;-6QQi@u!v{Pm1#A2JPDC8DP86wy)Xz8gi+-3j19HAs7&K=yg84bwu(E`H#T& z;EU!reArHgW0cXFxw6mSx26dQmpz{Co?!^L3&K5Sc?fUl$!JNe+=4y)eb7zYy3y}^ zz%o@)%@z(L>$BqP)8d+Q;^{R*KCGh~SW_EVRU24W8(8nB#n>jim_a_@4DhNtpr$&Y zj&y^K7zV3v;hS=jH1R}{^ty`P#5981yk2~* zdc2^$JX*TS(b8B^A{WEKzOL$^(#ke&jg3|lKsT8RQPch}{`D`WqW`Ng)CqwBF3-ad zCLfwhP}`dW$tZ(`FlUGA3Bw^i3QFxzt)AOSqf8pUo}1&wt{HFIjEwm3sW=76W11L> z=MUf4ei>nKMx<#Q7Vxf?$tZKN(-RJoM-lu4&xpA3Ztj5b?iyet4wN(liTp#;q(sI3 z+f-UkFhglc!F>5C2^%O<qF z0rm6@zkE7%_Z3Az!%$$jk`?<4g1;ey;)ptkx^M=kkjj8bG=dS z;K^XNh?a34ZD;!r3Fbjdo*gW(|BiR5-v3_`BzBl7xRNY4IrCeOp9=~A!wrEk*GC&iefG|Np^HnvQ4 z8)cOIrWareEUM8v1%nU6%!ZcUfNpYCU7JcPSzAwU$3F(UhcOeXLHys37mvymk=0aZq&b|{l$sqxqp7=y3%5M(t$|0ttENI~~V z>%MCv(P7jAf|5$!Ia~!6Z`n z@x=&|%8+CgV2R71Qqo<_MoffMvP)$H<nVYDh2(bg{CwS9Ns51^vukBzaWK;g}aUiH_r9p>0bIPui4z z_>P3f??0+?ZysNw9hkQTrsf>|z%*dbUR_%p{knjRdmuElN&A?iw>;6%I}!DeOMJ3? z4dQcit$c6;Cl9U}H=!9jAvs~%>~mEty~a8+`sOrXV^-p#rT;^*ee^U0i*C?1*-s!c zRl*giYw(nOnGo5mSL5?tAHLOsW75ardlZ?D7Cv@e{k!=>!F&gm5y(od7M4=}URBZ& zI>%)(kG891_n@kB=R0+gn#BZdwX2zjrE>~9*SW~)Y)qnz0A`VEXgghP55$>F7H^4k zZ#Np~Wj?a;V^9jh=$v>4VfD^e{N3pZkGnudDsp4Y3V9w(7O$XeRZ0hz^I1A$?b$5z zl5$mVO+TjjTdRmK9-l$c#KkbOA5uY96qC*{6Q)si6tLi7eP&dB?%y(p^VV?TM9l~z zmI|vyST|VW%$q-Ajhk^IjGH&Xjpw_0P)+`QL9=Sij$k%ea=XsQx_J|2-t2;+8nwMc zZ0Zr5o^s(pe5f@yo^CD4N&zrAd8HM1CgitYQiyg@%HJ|Ovz9f`OY;ZOBx`q=OWQSC zNbr-=Zd8E7Me>+1O^?tR~X8 zhSKtaD09)&++H-m6}?$eG#J61jHdy1WPH965e1KooC5DW0w1p@U>Qtcw9U;DZr*Mu zTZ~}bOUde|a*@?+k#EJq^5uU9^U;V3*-vHCv!SA$h}huM`q~I!wKK|9_Iom>Fju+m z`)b~X+6YP`Zn{)86mt2jlr6J{Y-h?2x>RT5hHTWRlWa}8vteUy%GEV#mZ}xECC?6n zqU%#}eX^ZlhkNKoo_+&CfZ;<>ce5t_t+eYFK;gRsp&EL(@388x7i5)zEr2Xg!Tdi8 za(gh&GC$u%YSvS5M8X33-LMIv?;TO@J4~RT=dpE5!gwzWIlg*`e=$DNU%Z3#g3LY* zoC(VncstViQA!HNc5^4FHOd?(g@HFiI=VFdG)xJGd5H4VvPgxQb-^-Ai=uUgcFRWT zukH-n80H%D&Dl4@sEjY`s7Bl$^;z<@Ex_ABU_HmVzUxVF4fO3Jx{Ks$>E6(3a_6Yg zgGHV$cN{e;Ct&!nf~Ucw5-3)pMwMOuSDd|hX5Z1QHpS`M$r!bFFKyV$;f3)Y&3M6; zurCIfl<_aEpUgeU&ir=6jY^UIWVy5On#PQ3kemWesV0HxR)Dd0?A|dR(tx~e*hl9S z#6sF8ZK{)VQ)?R*YeHgH>pNiUBVo0UBV~{k@MC)Hv9@UFHlG7zi;^f6KZ(o>ierz2N1h`TuAV3W9_x zJcd-A2UWWWpz#-);Ufyfi$DKW68yz{U;6L{@%_^53L+#kXs*)vABdvUlIijeI#%mH zGSM&JSWHrjdSa&OGH+-Ez(hsEza)Z_#-Q%qyleMwUUUbxfGJ6BTh1i{5`T{Gh`;K* zD+SZpqT9B7zPCLv&#vR1y~Zs4Q7?*?F9^XJh9`cNuoKAN0LIp=+U+n0jbgXg z!fNn#QPdK?@pSN&h{j}TQi>ff;YWolYPR@kl>8#g6x|pf18&iJ(cI+E5$l8N3DNFV z;S+Mfy0}^c8=>WnNM@e1oR;oMqi=YM>=Bre#%@l=>E4-;T>u;O zgdLj6Z8ACcptH0LK;o>hd5u94ji(z}n6;O|*ZTlm!U`XAG3vtvm)#@S%(6#X-eR{W zeX3eeMEbGu_KaT1Urg_GYnRYSXNy*ZY0@Xo^&=ImQzOVeFkgo4JT%xfhjLaZ@@G$_~x5L;8T<2%s*-vZ+0+y!|sOev8 zf`_??Z8ry1zcWA}prcVVr47jgTfljs>1G$NF#?DFxcR#;jz|M6{dYp?uakkW8ayMKtT>iBGV7rV zu~>nUqyO>*pOU1Hf133OiuU$} zz<&LJW4V3C{`b8o8n+SxyUiG$#r_b-)Cb8dzB$od6Cm+LZ4hN@)+|M-^YM}*%2QYt z5)Z_8{0pysy!Z>Rx#yoxM*730aht}q&Rjaz3;vB#T?%h9SqN6IQUF->u;x#)c(*+8 z#oHvIOmRD`x4?Qg|U&SW@Q%`Fxe5qA8( z^f=BoNktB88$idr@6_z6?T;mhn3;{kU9Ow0_M4_It0R}C(W}y!HB?)C*;yG2nPj=3 zi47F9Aw#EDQx8Ynm^hOy3SQ^^q>9$9Ip?s64SqhZ3kXX5I#;>bT1sF4rPFJ_!WxyV zrcW!EkH7E)1h1bOAQWdMP2{4q99d1|O)g|jE?#~Yl6HSVs^+mfdG=BH92fY~iv<~) z!tDRPO0kn1+b2Bb66W$1Gw{gi!M9m_3#k1Fnf&G;RVa}hoM!J=f?1LfutuzX6!L_ zNzXp!THs=RpjoO+B8T(aMp=s#U}tG}8y$Z*;!9&pNt8(4higmdK1T~4?Ul~jQq#t= zq@X0!r3XT<4m7#^ialWS3I(87r?lo*A)|YVlGZs~Qu|xE?MKB`%ks1KrB{thk9wy* ztzKP9?J$kKg~t5F$;!;l&eG?Ui~wh4`tOy;E_Y9xn@Ge8!0pS|(?@7sq#=p@9%x}x z_;*3Gs>rzSOB{ONo%qd)FtmkW>GMM{=0)7MMW$>EcfS%wB=J=K9Mla0eO((Ywo@4~5J5#*T! z;z10-Ij$W7N2flnUR_M>n)aGa%~i|lv-Xu&jcdF_$$pqEm{;d5WY zETQayIyZxzS^`cbjXK-hn#q)~$_crzVZffjW(N?sAbI!kt^=Iw|4$*-2M`k2YhD$dkqQa?2(0jgEIq|f&vZAm z-d&icW;RrF>;Je8NZACnX(e^8U?KuzNr%y)ox7>$En89@bmqN2Cku+61hh~b;ohCLh$&-`~MT1^wA(LA+sa#J!OHXP5O_FQNCZl)CMS} z8KAcSczcX5sbZDqz36oCk}W^x1j9&6R~mn_3`E8CJiUnr*YLvI8Oo%x-cF@yZr?%A zNamU>3@9Edy!E1lme(;H8D)qYY|bnEZM!NAZPj2cn3WWKy|*;Q(5t0OGm z+JJ7#7SkA9B8@xOVvSCu18vBXLZfkl{b)-2v(-DIj)?3%d`)6m7|f%NnTtP z2+_XFcQm0U%d9kDE_J<6-@os5&@IXeOA3({6gj09aV(GKZ zWhI50)y)geXt;|TKH6p5DVF?PUuaG05p&?-FF#N|4YIU^9zN7LABX`(s&yYE3ssaE z_tcFz2o?%}V`PrDW?3|$h9bp|{qoSBu#QG7C5{ai=L$7qxIZ8sPM8LGNkbbg7Y8DM z2w_c_?bE_RNx;J}UCss0HIQOGTLh#Tg5RHT1st|pE<3}5Mvl_vxXr5_IU^w53K7up z0QKWu4cj1*!yJtjD!+s*Iur>c?QPvaVAz8Ya)q&0V)N!~Jji6Kjr^7ojf(Ysia`b? zrcq)4*N36P#l%7|1`YXZ^RsTwpwabLPv&uy(N>IrQSMi?zT2HKKwEL$NLAE zA$Y_Xc)73jnzrl9O4uk8Gd3IwIB2}^PfI+6V(l+n&oTadl7fO7(Qp`A0Ph+RHg$W& zwMLMOD(dP-Kx8}Tqky-Vgs;Goxv8W?P4+tx4hgANjujyU8L9X{nH6XYPXX<-B%t&T z`F!oy<~ddQ?NArgGQ0M!%{)x>~m1;FZFcywnVO?YSE`FIJ|)GU=G;5X~y zDXwH_O0gYlJREkvju{d*i#u$>^|)lJXF4bi&L7fNchZ*$Kx=V0+*UY$0rICD=}V); zbyAE64$}i${`4R9hpoG?xJO<_dA!`*xh+bIs6{qB0m-EMw*eM^NEUQizHo%B2>wOn z&pJ|$71AwNh0~3w^2yH|It|dH8|StrUEK8gKW?d4ZmBkAB7AuE79A{1skvKPwMbK& znC?qJyXcYZj+~Ro&kMIy+EL@#?OCUtStoR+gWDTA%pl+fGQj_pu>*npPw?IIs}pxL zpb>jw-S7=v8p(TXSI$W#nL*u_7J~xVR*M7h&(Pr=kN(}IOagF!{jFEPt`%v=j{~<< znbvc+)F?~fBh1~Nb3%e+xdn3R&O7DGJ7snO+`brC4iw)T(7Z741GrZpFi$8z12+Yme1XjbAm!fIC!_1DgEOUkCnBRGbdjrTo3fi1Q!`=F4|C z3D{5f?v^^0fUE*!Y0#-Ffo75tVE+KmH^1l)@Z7(du1nY!13Q`UIZ(Ze|8chHh}`u3 zd>M1#{a<{&1#lcqv!*M?5i>Jb%oa0RY%$AXX(Wr8nVFfHEoNqBw3wM0R^R!~p8fBQ zdpDxq&d%wW?wRh1iOQ-cvszcH-#+hUzB^%rFtis;XbU8%^1X8~qK!B7BucKnEUi7i zk1+(DPxt zL8zKjT_v6!WOos|&d#+)4;POSvFY>L3ihL*P>E)s)VhJksUvietM)O5*4)54k0~}G z@5@fZau*(DE1z+hb8c+wZ@GCQIu!iS41=|GP6>Y{Go*&H;WlqP%lRE?aK`%#SD%| zNmv8s@R86oho0{$qOEM&dO;hg`%BqC6Il%_(Nt>Dt(&=_vEUX5BlG8w2lKtPb z7|5;STDV7}@~!2E%8#m_iE-u1|F{Uod8pC|VxPwV!6CjP-w{8VO?+a4cWLUq1ss`|abV%QKqCL35pR$-&`GvdMt(JmBbv%c+g z@wrl1*I)`klsarItf*|q5EhEFTfS2ZlxDL9zEg|;WmMT%*p9zm+!RM39EDxK`lZP{ zI$iJyt(iVLT_MG07^0{~IUIFJN#!RvuL zet2dz5V=wZW`_HA;b`Aj-76b~6VrzNQ72C?D@ipnIr(U&lowZ)rtI}1SD!sH=O29w zI>6R6D#13G;e6OKCtIHFCggX%D)o;h@HpC%)dze$*t&UgNgUmtUh5qyW$NMH-Fdy> z7*y~12CMV618O*s9@L|eoC)4K{RJGZjAfDo2SJJzGflDGa0YdQN|S$G7%xAvtlzV& zKe7ZwtSbvEcLxc)b0i`RG4h*9RnrDP}zlloPK2;RPT?tr`zQI?5>bYO~P=U zKj3l_QT>dt-C+iJt_oZ1e?_ljsWG?u3Bq9vRhRprfas`Nk@ z_%+}@<-8#e#oRYCU*K~1T-(>hoRLxO()#1iR;|>F?FDaZ^{Ee(_p82aI{!M}V5ZYh zI4bRi`FHwoecI~>AT#=dJv1hV)C>Mv3ym8Wj&PCUf5RTu zl=CpQ0PPc8e`qPB_0>+Q>btAZJ?fmnzScwV^y2j_&Wt8eHI2o6t-f#hsq;y4+Fh7Vv&{bbG)4LmBGZ0g&&K-3Ngf?jICQh}3F+}ThhrjbaIH?-bpPV0!YR<1oB{h^Zm68D!ty!fONx215 zay7zl5SThD*)`lphNh+~I`$q-%@%c6e?V|3^j0R>C{eC=!hUz_rO&mwrLOpyDOY`P znMh^qP;WINE-_F~Q_X4KM=w%KOyi&Wz-7t4G0@3_K7Tx2ineW@nWnaVT7VCyRy>gm z>ba|vQ zIYK#pwVZ-WjE6#KtpsjFc5!vx8sNFpN{{3uhrCEZ3K50FX{1jI+y0#y>XMbo91ggF zEyLOG_8lq}_N+1vJ4U2PrxRNy+vq_oCv7bT;8REP@fIRrxHFaeR7YdM$uyAds@UiI z&4SZ14?Y;2*BVydga)r31ucAqZ#w0u=R#=ots}IM@R=|jLe|0TaLddZ=(I@M$x8lp zlS9*g@X}Om3+nflUU+>LH0);x-Dz%U5co?0U0Be3d5c4OL?c7W1CUeL#I*GSx<$pp z$C8j!n1r-R{JRSpGg(`ttOn>sV8(+Wv$Yx04Da(?DzkRz-d5LH_ctq8U@Hc_;Y;;N^b$pMG5qSv@SACP^e}2GjLQF1QkRzT(pT$;*PW>D*3)ph)pw!@ zXl|6zX!4%v9o3sSuBkaVdcdtN))2R}O5wR`>G|#bRoyOLlGp8gd3cv+X-`g;XZd{q zc}fL%LCReI5c-@A_*UPjj2N7?c#$;pP2Y5LniC9Nl;8AzO5u zCbP?)`mkiw+D18NBU|(eWcjKZVzdfD3}uy#>f*M86kA;$eMt1TpUIt#vDrdI=}NU2 z8=$F#<%!W;;{PD`S^zdjWo3n7=BnI{c`R-o4yjGkrdy!TS#OZ77yNj;^msxjSlTojrxp!4e^g73R>_BA5Z1AGTv|*(8Z=ku zB82?%2!EF>2;o+yx2o1JgUeM6t=HRq!yS+MbqI$hQmXhK987x?p|+P=HO#6PYkFyw zgH8bCjkX-`q7%y)mn_@5SwBsF>>FFE`>v{7&!)=IHxnfWlwU*zlcrFhrNC|YnWwnL zPQ4u=1SCO+;l-dx1*ymiJC*wBvRLnM3vz7l>T}n^EBK;KNbJcVpP5k3TN{8;L-tG4 zr(1zOUWoX?5!KvuG{BQB(QJ%2skCN^9=<#D9Hx@qxyQJr@dt#p3fLvxx#S*pb14|) z?=nH(beic_IM|tv%a$USEIp+51;Q1Klh(1Z8R;mmcIsb7&1M}h?{9%P`6(Sco0FRy z%ReS>!V2@Ff)AIREru{0vGs#z`_{Z{Y1e6Grret5O>lD7u?zMm4Ok z7a#zSV)XAol>DYmZ$tw8wOEWHCnLzpGb{xsyo7hLNNqXOOY=sK%I{k~vDaUfZ!gZ@ z>{{DB0)df>m@cN%)=DKo3V|Qd%IB+?{ z_ z>-nm?Nx8rA3+mW-eOm-GD+D8u`2{ubl zfNZ@#9E)Yc9ULtMu;qn^Xfy(!#{^GNX>I(pF$j(J#1n=p3#g~vvfwI+;*iw^gh-HG zw1u7KB#7oDE^FRkoT*%wIaKrZLe*>T|4v3LX>23AOV+$Xv`I7Zs#JOs7zi#&KEv0N z^f>8aWvoj2D&XihCc~65XayB*aED6xfx#0i0QuM~=%dAbld!03=)oE^Me@RxtK2u# zVuHf(-n>X=%m;#jEV&d?$*}alTV>2r=Z%Hos~FHUTOCuUNo&RelHzrWbVjX5MMoD` zs3(QtFL{9?(&dmFs>}*v6{j%aiijn6`UMk5*zfZqN!i^W8EpLT^;%PJM`_n>M+6?$0RAJZjV1QR>IP%>` zK3b{TXi0!(<}F%Gry!$gmZPc8bG0Mfgd-!|qMiAT3_uZw^QQhm1LT>~Lct9|vFb9L z5vQzX+zBJ?m7Lq}?|T7z{o$+arv@1%APF!QV#F+4v zWRa;lJ~@jHlN^j|ai1VwNn1Hn-iqbw#-OAt!GkkhqO+{0m!k%)h*6PxKUq{o<-oxu zeP*fZqC`K!(+eA5h_xCzjUDH^_`90;PMmg7u0n5^WSoAF6H2kll+zp_oJFYx>8%6UW zW`1rb=JKb2R-<^Of5nVmaPgAB2*9bGwh zr6Fuwka=z@zc}aTWiaaBU@-Q~z95wN3q8gnxi}uS9+|oHlcwN3?3BpbhC3m&44WPK z*N*8A4Hq-9RaUobkE^klyACSXLUe+FcvxGcdE=dOUxJCcFh)qHOGjTb1&&VB^%+6v zXG?sC-j0Pi!TuyvgTBsQX0M%cv%mRSik$l4aSYaaAZjEz-273(gC%XJEp`6$@5j!EYoGFfUF4(14-DfkYS|}4}?HoC< zK?gcm!ZeJeE)rRh&u}0Ho{5m0`$uP|ySvj{YNHNLZ`6b-NIHGgxmdAtmxAPXh~bi; z3Zg_vUDCWUBf`r20)1zOp^^9|8j`^SUDB%Ir-_A1nC}pkvD65OX6vW?ehv5v^G@*b zfaUXp?FxX6@%~}lHoNE`1A6h%`EGWT4aTh_Ll+?f4nZ}%m>i%N`J)cnBC6jx*tjE) z&8wdA`}*hJ+@IrqOW0o$#2)$n-aFj_lnct+m}V3ljQ<;y!2DOW0T9 z`u@T8{fV=ZfP=eb(*pi?`su=1;?@x=j)gQ73@(26+$GH&dT6os5(Lh(n{A3#VZ$eZ z@Mlzx7uio5$!8EfXApkd7QVGW&)oX0v}t#7|JdC6^k_4+sbfl{+sKChbX&4 zY8tto*j@fr+rG5^I7_LDXMnMFQku&TkC*D`uhb9jr#P!h-vi@0D=EWIEBPujM@8#z z#bZZ385>)GJE83w_}Ct1uZSD|=O~BD{q5gUa?m#&TRqZeWe6nI;u)6aRYX3{GVu#? zBmMxq>$lU_H9Y5u-QAp}1GANaxBO@TtO*DD_lV?emaFE(QTT7bz*8FWh=nw;2rS!; ztn6*viBq?E${zQrTT%tTB?@MfYHrs}1j>X!=Ph?-5jLd!Mfe`or6&^2WDpWfwF8p0 zh9<14s^9c}W`pHi`zn`JYZV>dhTX+4fiS*qXYuQ~@S%w(260^|&#i)rwzO{F;Y~Uo zoT0kLUIM}CU<-?9+TJ?*+LTjn%E>vK$vC!dFjN4z3HaETKG2hl+zwVC zt6`7E)@R|6f7E7=_LPR{^-U?9!62#S(W=@=_@xA`E3B48A?43G$37U2?B zHy{HCCPw)iOMc6Msn&QOZvRNJ(~Ar0bP*9^kw6lrLMs4Sy~&)U=7a}=^5SdOFHd5{ zF|4N`_jA5G2_@yK8cl%FoJ!u;Am)2N1M3Vo!b06TG9Frl=^|)R61f>jDwib5vwDUF zVsC=CzQd%6EQe>Vl}ur;WY*}QWq%h{I~6evUL8teWNAaRgk5Oi3L*1R%p@EpXDUWS z{d96}DCK$3v5X0%A+Fr*CEz+WVy(WRPh@bYix7lO2#w(ux)dPWJWf=~!nS?WoqI~7#J@t6o)HId-(m>w_m$vlh7c```5+?h3t)itIKBws{ ziL5-mLNJPU!lczWD=?i5={XTs3+h^#Uo2Ybg^1= z5A$cl0u4X1qsQ9LuF#GC2$TM~_(Mz6vU^fppzQdH?AfZrbvKa3`mIHrZrV;YrK z+ZmnYPM9LTq=+P_A`)d9UBn)6KJj8Z1L|-U^lT|h@++GqDK9adU4%&v!h%91UzLRo zZZK4^hZBVwn+gnaS2RaI1LiKb^5c8tpFgP%Z=D2e!Y-MBU(IqsOuPqI34Qj6&K6$D zeUDskF@4blRTtb((>+6o{Ek;#jbwK%4E?BUqD0Ult3VHyKmA+&mVuSAB{YSjbp;f)!gE5`7q!Vsr6bp`mlJiNWc^NaU1 zOy$xC|JZym-H*zbd_MCYZQ>rIhZ~#`ZH2t2@yl(` z)@)WxeV2Jwd@N#nO}&Z#Dzx^Rs&GY>3=1h|BOIx|#X^GFEE59BfM($P6`lR-s?~ys z<=UkB^*vv+gJRUzMPRHaiJ~&OvA7>LW)(Kfz@31eLv3DXdp!&4Nq|!g?}N(4j2W7G zE62vCkhXBdaJ|UuIc&YY8PR{AzHzgFPH?qiD|=AE%6z)sPr$$pU_iqSNce`2j1fVD zjo1qZm;CoCfK;744jn?MW%ziP9yMR^8>(S)W+Ryv=qK5B#|s9G<|h&WbkRCI&JBAx{A*@o)py!8g|e7$R}tKFw_P;HskYVlO4)&Uz!?X@J8ACp23Vv8G;v+Fk0oykv)_68NWprqhSl z21B&TGZ8yt^y#_6Lup5b!7j2r{x~YU64F1#HAS_A9(pJ6?e~H6pf~5r^`XZ12}uoZu8tSV9;oWmjs5mX zw}DCXl6o_>2C&J-GXljlKm6! zC5Z7#8Rx9PVS;#xU2)+Q4#q>hqLR2#6yBUx`=^;z5KG3ay9jQW`rNb+I}NzOgw&?h ziF(~m3Oj^J1=qlLn(7*ZNVHLfQq`Z-t1A-s&KEVFZs z_Ti=agRL~u=QEsdnG{Sv8PHh%ucB8nE08-m#fftT}mtU~5JWQx9}#wRN;0vx0b)%#t+go}QJa1#{f9-61aN z;J42&Vs|Z#AqJyEh;E|7$sU_CBoFN0(u-g3T_-|!Qh=A-1?Yk?Wa3QIGmkS! z*gr!NGf^A4BCoE8gEa#|1LcF8FhOI5oEZ^#D*)hQSGS@|s4k3%o#BHEMeA1i68QQK zDAE%4DzH^kwh@R`ySC?r;Sx}ycMU=fP&+8v*n2qOt>)qp2eDQ(!@e^hGv5PuD_2Q- z24H&r@^x}=89=xUAt7l<+@9r0ay8E4YeOSvUKDlDM?u(e@pMNtk`tFq-q_f9NQ05w zdG5|o{6Ggz^1?CrP&>1%Sa!BO3FD?uJQ8=pj@r_Pd|pWAKt>ToXAwo0MCY1{*nZGO zy07qRD<@OEp!#wY`0n6DSJ8kq=|HFvJ^O;qd)w^Cog#**?n@FUqd9iE_Qz>2-7Emo zzE+TmIwd9LW|&*>iaAmjP|R_ax7R+XvEnDnXQcXox3HM z*)QtNdYyb95@uX)`re-mc^#!0;tGt&MrUp~s6V38;88}LBPQwqzW+OlD+WqpvnU zr^^(Xnk8X z81+jKlABz7!HNsHN(+ft6Knk|M!We}Sf?bK0vRLd{$=t4TRym2NMA_clf5z0}zr zF?Ly(ZAHDJsg`4@m&KWkUXTWS_p1t=&eMdUA9d2xtrgPS8$-bB(&ca7L*9=QVPkZa zI5g=t@%fe$4eAMVWbq)EX*wuRQhKcNth>k7>xj zt+`+o+xop$rqvzzv~RvWE9tIFCiN=N)kmAHmeAl|vh_KRpf}=98-3KCY;Rd`+tsC_%rDu9{})|xdaEb|wR*E%Nw{*}5s#`Nfd5GnT#g4k*=fR& z3Yb)S5h}|f8@*)Y)=a7N*E`L)j7OtR#!=q#>qylE%YtXCMp5hT_b~^Ap<*B>zi!|4 zHEvY&l8r}u(C#ifK2i1dlMBMo(7%Ujb$dWqJ!zd)@5Wl%idLPMlwU8>EH-t>s9%8) z#@>-RXVoYP@9chmy2KS8mS9FdaHB52PVnEx17Ya!>pXgW*Uz|7Q(u?p4BEYA$Il)* z7epO(aMLk?ptJJsrW2SykJ*ry)##ICc8ZG2QT==f2k$-RMi`>ZnbVvEK5rEkX7_DXYC<9OMXwc?6{ zSfy{~`I1m{UGE>VM2gX7QNF zq7dD7spby8IhFm_I7fcD8CJ)fN}hC9Rjnp@6|f?SSI4kn?-;k7LVih|-qiwMIw81a zj$K9i+tOZ(-xyo;8dg#CaIaZ_8e=^j#%^-K8X-RVAfjB^UF{>|`S6xlRiLs8h%_7XjTxrQ9)}Zd9AsO}-o-V~SD>6jMS%y)ut+Pmk4JF5 zhVE$IE4T8eI{XQ-U9Qg&avxO!q_8+a(UNZBAT54Fhfm9K`3+xH!_Y>LA$hl#xpKYe zCM@+FquMF-tTneSqpZ-p*X^D?1gM8kapfQ?@_vx`!U6dSag~a-rL2UMumiv znLq4?C%;n{2ASH(+nfa>+gq5^Sd5?%I8*Y2ZJWszRc%bl;sN<4MsT>@RT_B{#X^g$ z`uPq}>iwsk24o>xDmTo~>yUj&PYAYuL>x^^rL2rdDl%5aZzQLFL>H=Md!;`nq9hJK z{64;8R02@QFQ~duuMo^W2c&zwE$E$Ae{RX7Uy0P*`o4i$kNEM7ZUxobT;k^Jw38Lc z#c+$ztalfY84m*(tkd#Fi%?09_t@ux1g4W4pSC|(kmpg)Aj*rY$2 zat(`{Co)b^L?Qx}$sC?bfJD+44wcC@?)z7&=gpqy;JU%tjs&fJL*uHGoS&?#(8r&^ z+5PHb90#v9J=8qMbOh1cxO7+QEQc1&E38$qpVkEH)3Z>4Q0_{j6oo>iZq}n^fKE>y zFZ<4o$L~{|`#~79ds1~&&l8cOw1#1u>qp-Oh4*1XZS0xW#Q_ukn+qB|^3L?v7ysa3 zB^QP_(>j22L$j#dZXmJQy0$dZ44g@b@sx@)hCVD2IAdUrO^Cd4Nc!YYrN}?Gf3ukt zNr%zB2m7-{uJ@mA=`6aeYl``24%kGby8Cw!-pq`4j&nrwlG>m#QPDQ}sAE%}CP5J% zG=y_@dD|`sZ#9*RXE@1nlx;1s z597!zcZlAnpr@hoM`JTbsa7>Ln|*I5CCo>6BNi#oMwqemc|deFbv%%gC3kWV7ASYP ztao3O>QH*npt_fPJVcn}4o$W|b;#*c<830&Y$NE{F*J{#RwpjE5Sx~O@s=0RxuD(Go!=YKr60u1HJFvWJq3DOPv`%p?XI^9!Fr~Y=n zd-wA5lK#(8V@Ih?f1WW=DHWYFqA^%pAyde;L~exFVbgGSeXQov_{hnz2@$CS5S^KI zG6MHEINtOK7VU4?KjvG1l*#IX7IX0HuPkTbLL5+O*vbj?{y>mq1P zt2kH!X)X+&VKGH(qm-dOi@?2-v@uKXlIVbdK$J)`Gd35zfDVmkNq89L75IvX2;WM;K2ND-+cVCF4;j= z^EjV*PMxmrM{#903c7n=y#TkL!JLfyA2RAjh5AiiKZD&dt$Go<2Nbhc4~PNznWXnE z;~^*W)V{?!Fj&pQ2jt3AFyu-g9C9T*336pPH8Mh?w5_K(kl!oq`f4HqG1isyw<#K! z_-)Y>@)$XV(_Fu}SNP2I&fhLBLhcn>Lbn;(4R>$O1&!-AM6b;rCHv7fcmE3-m*Qu( zOqpv$cXO819fF5hWtIV+GYYh%ul*>3hd7MMWuAST!{gw8IIZPqL(YtD49>+zfk`g; z;m2>;7<3=1RZucSh{dkfL9Rdi-3n*~YNd8{zQn#R#;UJ0%9 zo*VLSLO@zPKdMy--Bt4I0b_NR>6_gHb^QdwCN1un4$iGc%nuKJbLYGJnHdjP59z@C zzbld5if?XeXrHQ`^I`&UjL%>#F17K`j_Bb79x6E5Ixvd-y~ueUaU`N+zd}_L$*i~v zt2` zWCd5|u~K|mcNtkgjcK=?;t=ysrHCylPUOV&c3a=t_;(TGD@-)eUCmzKMJm#qBdvHo zL;wO!R#VZ;st*C|V=}F&jmaOA8AC@Yc}hY94=MCR|8Q4Q`SSq`BfK3SE*kOk)nC}v zORsFaFHcqaZm6y>AQwVKE0s+1;S0NBuc8C5wlKn>xeXP&0D1;JI8n_@afyCGO?i$# z5ofrcAOj?hkXKY&Ump@yt#|=8)IEKY z+~gnfDsvDQtoJY*1(z6LFaSuxLjn-4HSs zYh2Um^GS<)s7!d69w#kkQ48Ta3m-(6z1~V6f8pW@*KGC!nB}f&Jhx)HE#A!WIQ%?R z5M5PZCd=%$%FaAg`Ynhg~?r(#)N(;>HSazb5Vh{pqyUqw;Psf_mpwDvT6< zb6~mPSUyLF6zf{Ndf zCFL7}=lky4$NuyEP$QbIbNBWA)rW1B>mN1so|zI1{76-K#5}dg8{CPXMw)JCWBY|F zo8N6mex)Dn*^Hn`+dx+0|0Yh*ha`0zzGs;@H5D!!G8R6WHWsFHPK^n3N{uma{8y6> zsWFoUG8#lW`K+-n^eiR)K!+X2b=A&wi?y(f$*JIMhyMNr;Fw@lsMZ*n&9gVR_thY} z+NGh!8EqLHhK^$*crvA-SfgL1wpux4VuP^Q5+zrV<<=nb&=@;-$--=w2>=!S-bSPn zarS8M?|PwdhI(|f`TkF~6oS4<49cLN`7cOI$c0i_xOr?NN+JoAt`;G)YAsA3w6$vutqZ>~JF7&PjWUQ0jn&v;W*a3)xOHV;uvi9~K6)b*$91o*53TVmDNJ1lW)+9} zZclj{cEh*1ojLvoOr6102gHn29>q{-bxJ!m*D_2uS{5?6~JTCr)6!SEWzM!XU0}GP>j} z2#pbBM5L^2K2?*Ql{*`Ek6o?D5tS(?OWIX`&bccj=8V)e=!xI0bSaCx51l~oUn#=I$V6&a zQcO$FrrTX*RnC4w)HD8`3siu-3Q#W?dz$^{hp?q&P3970F~?70WvG;M3cs?kq}{iB0lh$@XcOX^S zGM!VKB|0_}@DGdi*PL{HBEE`)9rWU$7XFn%{%cJKkKZ?jH&0%`I54+%-<%;lGf#3z zlUdmR;IKHF4Z5M!0RKQ*ixsU|FN3cfw9S?IK5~jcb~Bj}*vbW1+7tW@sAsW|pl4X8 zo;?Hb7_lsw6=7ZXj=ukB;SJ{n`ky=lPEL!R;&Kvm8su- zH?*j9N))eUHg{4ot^Q#9n^AfLsB-aIkFAYF2R!ij!Y3lZV!LeqXreyDGoalB{flKe zZRFBK6q){<>L*UilA6HuAI5WNkfSv*HHQlr6G3Qj`;otxxOk-UH=LI*`CD~LGFYfwgY@-B7KW~1=-nkx%x#CAOS9><@)v~`kE;9TPKiukU9tC%6K);6yxwQN z1>fGSaywmZ+&bL{auhQ#7I%~y0uVqfGSP%a+B_h@&~-pXJ5vPfQKR0s{^Wunc5-&o z&0!wa`MThtg)}kSx?m(v>5y^qz0|-GYY#{+^|+?Fp9|kEh~zQ|s-v~8qfLMP+FDV^ z=XlGH+INezhaw_YJ7vY+S?b zxQ#okZ_NEeWNBkLOwbSsIGzaoOZc*4xM<8vN(rv5ELh`UzYltke(?qC|OJbiWIs+3cmHw+&T|uW0WVf!V!3p ztFG@2RKZ=09mG9e>`$Rl=%-fjt5AuYaq%2{Xnh%IeFtd$4Cn&bC+)^GlR1x5(&T6l z^u~_-b*`{5C7ZpN2&eTL%35+QR3N{|N_rt>7Ham#so_X%EMljT7BI(k#X)O!*?TRX z_N6Cz&iGDW&e{g*CC6qtaCi$_K-@LkL!x!@#-@q982q{OdW*toAt*+TOs zXvCs;PbetT<@5giJhJWJ+IAXfUvK&IV@4tff)y_eZ*a^8$7TJ;eqcgFuOkN8poJ{x zU^u7s)Rax+fn$dvRgY^dRge4r5+tiZHUAfm6{xzjN}`Fi29l=dmlmuzCW3!2+_jMC z8gf9fbS{KksTEF~)?jvFLso8oiMv)2{qgfZfUHyL{{ph;@Bam|oIi~J8_1&Qa=T{u zM;JwKlS7|I9&Lb$<(}_YLr8~e)(=(Z<1e4pffM5?iO>t=&@3XXCKKZoRumtH)w`!- zhp(shwKqf9ani4tVcFdM@&x^IWS~-gj1TnCT!X(6Yx8-BL4n?l*{pQR?7J4@U0v^* zpyU$D4yhb^WFD3Dd#65#(q??8vLKY(`y{Bc?)(P9g$wOAnA4Q_wrG$zf7=zpe zyanQY>cPcMKU;<~`|{L-AtCR%brl&$;}=g;=F9aJJK#Kqa) zJ<{XQl7Y1_L?|d5oL4`8=r4m`h@qaiZb=>Z*t>Ofd`KP)w&(j7IA%vW#yE*p1}1q|)OG4Fuld@ocUaKo`|{GmaW z?RJ4I`Sb{J4ElHncX#eQye(<~&C!d(U1(x8I*vOuAJNm#X?wJe zEfX=P7h!^H`%9*U$wV8QmBGOvlTtf}Q3y80W}8v$YuKrJ+ZvgiGhn_Jgg)@|v zx$gJ$7-=V}ghm;VxDG$1!@dRKKh5O;{4HkUho+hQVF{8H;4mo_=B;rsLynL6$P3LV02 z_Y{ZqkO9+Tbwvk$4TJTWB2iXXhnI)f^T%66LC2m($3>($<|I)O;XAAq7!lLEbgoh+ zF@ef7AzeKK60&A8G)(0fc)-Kc5-B#(9LPZRU7#vA9jpaHv3TY7e98V)*edo@BY3pd zxcZteZ8s2nk&onz%t=0qyT7s;3mEsiqXyod4mvv7VAg-gYIb@mAv@NZWpyebYL5q2 z)X^?gAyb=DnJW3XjC=pO_++|;6fF#Fn`^2{ zZUGS_tSMBWlaVFd_t*IUsZ18*x9&%G?Sk^BE@nhhmasjjCx!3lJ)f~Tnj@0c*CzUH zAECZYma&PUNE?N@rih;bIoj`p-F8%9Me6nD2I$txImd2fk*r$Ie^}yxBXjQ59(G~- z>AzXpTLfb%qV?sGujN^74g(WtUb0~+%~S2_Z5iJqqOx?efyxu?o*qC{T>6OPCPzO|7Ax{7VQg8MALph#Uma+J?ShODWR_P({{_Xu>)iHZ~Ax zOSs7%aKcujrcwf$4Arv2ou`VTj}XRCwn7+W-7pr!Os)_QdZEF(qp$I;)Zz$9=d6@# zV<0{DaYf;V$YwcE1`llRkjqN@{QncBxZq%bZ%7RkLExbQBA&xweS!R$OjfGB$6}gW8SLaUPDkM7EK8!{f@eNILQ9?P^)5IkQ>H17^;v^(&#D`^WBR2YuNCL<@Ib9 z7+K<#WeX)LbGU!;Oilil3wfS68MeQ>2)w(jI32+g&%w1J;|Lo8P?}$X@j)Oc@Vu%p z-WlROnm%xgV9GU^InV9`XJ9!7c?b&3k02$;B3~R9;EThGsw`Q1BmIZNDkfxG9r-=F zLhAB5tMU(raeEH$h*>kGlk`*Oav!49HG$03BXD0~I& zxJtV8;BXmOy2a&_36&QW*_!avQ-_dW6VtNB5RDreP(+TuDt=WR@v{v2y$(kiz%oEp z(v}LI2GAh4P-BQP{D6!N|0%SWr~H51*%Tk`gdkF^!dwopg|M_h-bWNk)9u7|T9*CI z!PAogjMN+JT`S+5Y*3O5$%PddWf!eA&pdX7&cZfniVOHboqG69O9XyM`3s2B@c z@*M-^6c-E|QB!K~(e}K=sCZcyFY|2<-Q+);6*K+b4veuC2cL>l!TL@_B&Ue`_yk!Y+p?g~X8)SffUw$QBmIB%b8I%Ve_Ol7y@!b} zp+c&>#PgQ)6nIQZX`GMgAH#QlVMTL4rDBO-hX3GHfN>f%ayL`n zbK_I{`nLKonSffKBS)#qOn0#Tb$Fgh_F#LTV+=$WLV7LCgkz5hv*_0V?)+ffo;Qjq z+dTy%nQ7g@NK)>m$4VuW$0=Cqo6}5gDLvH^xnOzy z=Sc#F!5eHu)e}pEEw$FJkj$aYPpO%1M`6x_>7-cqg1r%w25DGxWSidCr->eb^Y>=_6hMWyj+# zZtm!p+?y}@M1flB<6bZI>#q3DPo!;>Xd~i*^yO6j=G$iHqY+ek3T#|C^*!DW-zl5Z z5rryvzPFX|o+5RrB6g|D_|p?MuS{i5=GAP+KvVLx`ryxpfX_oC*PL2pvv;XF#W{bw z`o$y{Nd2f8gw~TH;GVukug9=q(Lt#njHn+h56xJ)tZjj>n92L?x)Sp0+!0uzDf&2LpA2+;HudSHX9L-)(1>c^E8!n%E5&iLup?) zDS~oTUgArwczp%IP|yR_-OK1Qo(T$63nKNx66{pRFrG8D*D;K_V++U3eq{=Lz0-B>tLjnb301tU%=UJ>pWF0SDFMx) z18mhtPq4PFl8nDB$N9eD-7@aPPGv88_?*1P{gAbyH1aWOe7ups-Z3Jv8u7QpsfEs- zq(GW5hLWzFi;Mn3&^Jk9fsQPq4_1hX1glZ`lOKKUX&Pz%K|TE`<%cJ)oG8Duzy@n$ zS&s?7H5*62htpp*lFitg1cLeT4+``Lu`!M-qZY~e-o(YzjA>$J#0U?fc4NSIh!Ac| zFhvkdeazpa$^Q&TdBcDCqB>(X)cNojGW(&}oJjer6f9Q!q;SYKjivFW0L{-Ju1&u^ zrciOdZ6w_5+BR+fi=&;OA~rD(iV&J7Zqk;5Ugq^rFPP)~{sK_fD|Q&@C6s#}+uu`C z93Fgb>yaq6jt~-0hB5Zg$t!m>e-=vo7EMxhEWN}c(sZk|xE>Jw!b^%>p_PTE&MisK zLNx4R#07z2lC+@B{ABC5;SoX4#FAUfGoxnx^OO#l z4Uf;GSGs>2ei%HwswzB?xL~z-=H+(JAnd@vUCF`!Qk;uUpGLRxGdEkGtZKG>7-5?k zEdXAsLIVEC;BGKYJDis1lhThb9Zbe+V{CWzGA|4_=a!i!`(~2;e;ss~T0}Pct&~UHM`;MF6k`E#SLxD{D=t#UOh38(*b~f!P`u_@4yjzOZLajWDV6=f3t)_q%tz30v z^Ho<5umff6oPEdy%2&q{4s$ra2}+kk9voZtL~~}##cw(DWUV@pUlmA%1+ie&obCRW zZrz^$dn?jL#1VE*xx;Y;k{${n2a%WW)%6f^YFeajZD})i33~A{VW&0v8>dxojpl;m&nvy;(Rd84@5q9+)(nXNN18`vHbnv2`^5>e_KCY zP~nj5eLJ85ye*}8R%TrGgL#W#3acg2biG||Mkvz(JKfLi%DJRfI@^<5K7Ow%C#=GX zdkpJ@%xi5_X{&|GqpIu*%Z56qG-L0BWJlmA&a==T9U>;$EkW{fUw!?!B-%zvYvfN8@3!1&VPmS*w?B*{yn&2IatGOL< zVA`81=2Pqvrn6mcQpvXbCF zoftk+IxFG0QFpL4C`M_}T;E{By0pV`gA6eI^EH9bmo{1o4_MhkGEPi;`5aqaHb$4=WdY$2!Scv==rCTDNcyvM?hj#99G|BUFPb@hoB)IY>U2#-j z%hm@iHKdE|FVnA0Q~gWTduyQwuQ9j1_T@fjg+z&MYkwvlmgozw1PFybLh_yUf;&I! z@^M8T+_yVB!%bay9jK$_Um=@*?LXV`iHwUGE-Bzi1z->>0QaIX0Zd*g*n3=-{yl!h z9RBxHBPr2UfSZLVpZ6K=v>)Q3;H59Y*RV56Mx5~rub|uH_Jg>XHG*F$)3o_lRf_Lb zXB7gN;n2N_iHC3mvTv#UhL`?>HD%rvAEpeH9^A2tdyAO>##U#M0mj;rh$d5Zk!1u4 z^&X;{qy+Z2+vQddwson^vND|=rzMr5v!sw)RD-H!a;-S`HIe>DRGc_S=1}uTwX*xb z;Fly%`7*Dh7NxRwsZl!4vguOAASnZ2Uo;Nfc#|mZLL(3O&}~#iNdczV0sF6)c^+b+ zqK?slqT5>=FE4u+=fd6#p2rays^SF{Kg|=3v7+NE@)hD$IHc+#B_vfRXa?!fG9pww8bN-?2Bn9$Mw^KidBZ`M? z;RAE}8bZA8ga-~DvR)CgwZtHW+~b zI;%k}bVZQo=u1A0tOs96z`4* zYaUo>k+2)BZ?#zHK|Y_3ERv2O{M9K=^gG;Dax{rVQrUq-jSZ=p42JdAK-v`45|1Mc zXSXXPZ$i3$Ye1%gY|V<$-wLYv^mz6P_{QRG8N2+BmU9<>#>tu2GmsyvqNMrEmEpei z6m$|czDb5wa>JDC8)von1QG{BB+~6a3bCxx@Z0F zmU>l#T5s<hV8X9!=9l02H0X+1IXu!rBpY_=C{7rHak zV$De8$?-B?%58p5a35pfYzIJgmiUwDLMUJ=?b>7rJ(Rx zz1GnQ4X3s`%3p=u2@>DXeRv~YvkNN*`13odNyif6_AC;d92S2{xJRJSb zebail_VZ$XSvwScYx10Yt9-roBUt-{-*I!sRqAF_dX^3`|Ep@{zg&@9L+39mw<;^Q zaw}=*Hy&cY&(&|89hv*Rv%AfUzIl;w*&~6}F2I(|X?o{{F$Ry!kNGhk02H~^IZ=9K zUez-3e~r3RP0M@RY)^1MqgmCe1bazgBVW}z!F$0uBLaE-L@s>m(IqgcK?@$`{$N55 zXJwr@DS?XJjv74547Gu!3|R=DFK^Vx6$s1&f(e8VKJ1 zN%lU5=)d?{oJLL2jaZY;e_4dGA)hZ3xeDeE%%bD4^CX8W>2cpC=$>uxxOs=8h=96V zWawB!X-#p7F2LQ5l0;EPm5)wOdt_OtIc8a;khv9or4}dp{?;dtTHE6Z;pqtww2>wL0^33 z-ixpWvw6$75^M5me5=dcEu8wCb<>%OI8Ky#(&=u;8F#JMaMQyb2M>cCsIN~7_n%;7 ziMoEbZITOA_g986pK<*rZI1hDRRr~n5$fVUf!e;FuBw*0@n(;!AdyK8}S5wzSO>^jC zTKH2oDlBJX>S$TNsFcx?xma;a0VOqJc>uAzC{y)YcJ89B7*vrkrSh@DqPDK6!D4C+bfG>zgi3njsH_|k?ly{BL~Tg)T8 zvq)?19xP@U1wDGlAu&xqQ3v&Se^KkMMd5~UZ9E>64Mh{Rx819jVIxe zMHItX-_p=Hz*3Mm+kv=w{jF%muaAj-jLq%Ej8)H03mI0L4|j_AvkmDVwSze-EjF|r z?%?+#r;2@L)8_yV2#~B6r>mojIc*N-B(~DICT~ju%F=`dXdUKjeQBBGssh6xUy!x(+%MM~Y+9A?4mOUil(bsteR_ z{+nQYe$XX? zMu`n<`ch__C@nL1$j;j6X@VqR3!LnYEvQor^HA!rn(C}bdvi|K=Cb4BbJYLfAtsip zgm`x-Rj%DoL!qT;=6kKjqQo#m3{pH%`0s++lOF{|97W_b3sUarD=!o+Pm<1e3Hzti z6D!~HTXXtQz0ivv%hC=@xa4vc_kApl9?PPyOSoQh(pB9pTQ1UVt&xjDs)Zys=;bHja#-{d0aD6$gh4gU!tTE8hXX$?QVT`tH;{J- zG0v5{ZK#~8l1BW^45bFWYP?}~A-+odZo6T&nB>Nu6K5ZP@RT8Oj|D5rMnh_tz2=B0 z592OrCiWGoT$AK$EhDk=1%CQC5=G>VMzV(jj+~bEbjVabQzo_xK#$>|ITA6;s;VY^ z{JSEN&y~I?=1j^WisW16sEQ4uY#O302vPO|QI-fvRuW0p1xYp!sc<(Pu@O3<7z-;O6f2+?&k zs$Dbl@}6|A=N;?iHKk*Szd@t?#43KvKkFN^bz0r;bgx!lu#qDHZdq7be(CIz??(J5 zV>c1`xT1>OIZFXmsg1PJ3n&>&CYNu}wE>UKGt5D+{o*~6EZuCw1;Mr^bJ5NsQ%MGf{e0Pv zt6BeDqG_zyyviU%8y919D=JYfNj!6;x>uXot?>q0Mx(|z*{CK;jmI?(H?8=hH`%H8 z1oLp>8M-1RgUmZ>v%N?07l71zitoifM?*}i0xtgoBtIvAoy|2c#g6rq1Mu5j*?as7 zfOcPYuoQ|pK3>KR@|jB2#gxWZY*kXI%nYrR(N&hKo_b)ZY_Jp2Rc7><87OOVhkTn2 z=lIyAV4;)EgIind;^93aQux3TDs^;uZyojy3&X!&1)wL*?p$180&rrGAZ@op$wG(t zPFFgm)lp?}^U$ZD%#T-~aI%G8>CLg{OOcC5@vAq7UWto`Y3T);cN&*ybnNm`1Jf8H zr+_B&lxbHm*T z<21E6Y`2dL&=&4z0*U`Z{okUqwYn*i zAimi{wlej8wzYVMOSZB|!+;b?70f6vX-DdTLb}LSAu1JxWpKv9=XbP|9*`P&N;aMy zE~Fb`TQ&c_fNQ4uo2~4Fkqdo7x$dkw?iN4Z7Qe=pb>f`y|8h7XCG3El@stw&In0pJ z!W&$cKVDpa?705Kk`<=`62k3V_@C~&-}?L7|EC-IQ!PW-L8yz1MH`Jory`!Yd!ucW zRzap4uhJ)7mwbJF6)lZU8$E+>Up^b7RR7hXRa`2$ry7S=!u-=Mu}5kvwJXh^hh+o^&_OiGaYQ_iTrdyY)4EGq3wrZ?mga0ETWZsMP9C*W@f z$~7jwRHZshQryh=BDereMUifYZV{zMKMS=5PBg&D1TlWv^sjs(4z@BY z@h3CmOW&m-M})+|Z94jqY`Uh*N%f@K$pAVPlLRGTjX}jET}fq?SEV1KouxSA2L^+*T3p~yO!`B8qRQPs6c=i@Aly-LH_aX7& zbPFt!D{^WMXl%*vLKlr~9pE3mJgOc2aKunn?Ndkb&n)e!85H%YnQwrP1w2gU!C{Xb z7TZDj@AQ2&#+k1wDV*`FJmkQ)&^MGp z9W=Dw&{7}X5xNR>qZ2?Wt^a+Z2C!}G2O!@?egXf6O;i60j85TuYg9h}rXcPJiOt2p zT;ig28xshI?a_kG(qV|6RzU3?<{LL(sU+ie#1s3smN_yN%E1a*J zWk_X@Isq1u+668NNnr>9`x&6@+^gx_i*s-q$mTU3BdiZI`VI57k2nUFfCSBpXV=_6=@I@>#Ow^G)4C_hRxt$9E!pFthcINLWCW$-JJydn>r%eKgJ= z-G6)_Ypr3-%U>3cHa_SPqKGr1&|$d44G)##0pYqw2E?&_pRl?|Lxif_E4F5~mfdu!OI`-nMyz{k#T%Q(Ki0w0-6_ME2nk)B?>d9unFwfZfY;P7Vr zgwRJv!X$k2~3dcM{1f%j{lE_N^?^~Cc~iTwP4lM&kG;9)|{LAmFZ>iqk`*=*r8&@gB)izFgScw+k& zLBTErB9v^w<%V!7Ak^|m((P{4OMN&GmSBEd5#p~|6=-=0oag==J@nOm-n{(ndNIy$ z1DniW#omw1i2870xw&<9{_tXC@q_W`WvpopNA}@{(ogaJ2zxP41ZV%{>SnnAp>zG- z!saWzLb#f?}_aN;R4A_!fb zgk~1nkhv>%Hg+q3EE>Y6mP|;m0&X0K9^x7l2?iwX&)eF3fzQrRzK!i6^KY|kO9$nx z_|mo;hyC3weEvJjhMGDTTQm-_s5V(3(tEF#EC8W-Ix0QH)WT=Yy(=;%Za3r z)0GlWt|Yl8D+^Lc!1Md$OVUlD(`I}=X48_DQ~yh_9q60iHw8qZ^A1Gh62!lPV-#PF zza*nQJf40!Ju1C1kT7>7s?)LtHjn*MV6zTNi~9@O*`* z0z3VHsm>MvAx$N(KM2KFvcHP32b^0HwE<(JGa|CQfdv))@O*XflyXQ%vHw?XpPiU- z_)|vycVUVEEU1ysm4B|1#94&FouFI3O|)XRf13!y^tqN&&83LIL;M~Z954|F?5xMz zdszh*NIp(99m!7~cuo4gy~&4tC!v1L$7@fV@iWLrQ6X52&`Bm15KR{N-kKv z+vyNZG~v+B+S5)@$&wQ6$4|h9d;#w4uXQ|e+otYezq?XULI>x!_ACP1?_YIOyeBog zQ$Q~d_bXP_I}1}!??-lon~Z+Et@eI=@Q^E)sVQZnd3r*q&Igm2ramhij&nW#{uH@=rY3U4=H*rh}9AeG6q8M6G{Nr*@NiI}eEVy^in0xoh z$&2^%>+8j%ug3%ALO>*>oo?=Us4}KIJOp${hY;zW`NEGaJrJ&P6g`X!gn^#!TCADw z*tOK&$<3Bh%@CghTW@Bs5^^jrU?#hBM^|JVIr@?}S7T=%&-%^KshNYJdr%m)^C>mg zoIVN)CWlF(P|#FR%hK~iVw55p;FPYy@$w5|>{GsN^#fn5dE+qNK?}T!y!!g`W(O23 z2(6oQq4K071@qZM_9F%p-@u3@$4k*L+_aH0Op`-`&g;4ee2njy^HhpA1s;g~b~r(7 zni!Z;(&BlFT5~1s&c2`8{EBGgNuiJ=0$k1AeLiXH4xP6Q1Meh@g2weraq$tcM1%4S z%~?2hQc~8KzZp|}R_-hjLp3&B2?7f?ei;vjxm()Va=9Q_&gUYvvs+7!7+C7xdDD?l zVeX$E1@CA`BV4!28Vp$K=lwet&iMU@(Y9B3o(&rYza*;r#@UjQf8KKhOvjm9`jXFh zmxyT0#;+V98#oa1>Z1v@xi~nf(YK|(#=bLADOlVqmqlNX1!`RN)^gm`2{l9>Y^>o! zh;2iVJDE*dqoMRSu&8k%eKdSH1`$XHAM*o&P1$Z1yAPorN-QH8fq5x*pwTv!tb)~C3r5j>SC0rG!%qrE*YK3H{!2|VCDn^P~mQ%}6x zc0?!U-sxeMTQi zwET!8Xe{Djsq~oo;r>Lg`7R!a``tJ-(&wOKbZ>)JD7|eaC!rjON8Ir+|Cps=s=-Hd zgcp0h_MoCMEb!qXdI(i2HK+=iDqs&n{TB=IuKcb@MAEve7MCZ-Ve1;cj4tLB=IZWg zeUDHX4EMFjW3bB|tK8_Hd7^*ELcv8!)f!~9C% z4$+_G`U-{kD%BXzbQgh2!b1-MWhU;wUIDj1fVJR3q=&#wj?^eI)n$S?-pVRzvjv^z$ zK3><4!OYbD_Hf}%+SSo{9Hy0WR6&Cohx%64$Yo9-qpqbQBhQk(x};cKEz|JYOq%<1 zE&>O}9X%XO6%`juQOSROr=pVu%(xlMYfWs!Q9YE&@Rz;y61VAuP1HueXIP^6TAbF+ zpH|+($AB|$#X^QLIj%JiS@d`VH#>SXA(jLzM2NX0lr{ZkV~BEHH48A+ls+)kx#LO* znx(2(X7MjwW=C!@sF1VehC&TP>adEMU?fG*z`7xR63Walr1sBXrSE#vyxi z6hr339CX1N^POX*%B?(Zd+pVafDggW>A-$`|DJ%Fxtv}OV(+64Rl(+#S!8cHJfTeP zb;^SdbMN>t%cH`h!^4nQUtSF3&vc=$2>#H4F3g3%vCm+&n<36D2l{-eg)BremZ`{8 z!ZNT4RK<|}Weo^Ur3}gjB!qvu<}tx=Ga$g!euC*6MBeV%ElzzX&`iaD?%RAB+iL=* z9L zz(&3gkhUC{{Y0p3#GQHU;<~jJ-x83nUz{HuU$3Z}`j8J?OX@{x?Dw6VJ?gsB6GMn6Yt1Cc;=VOvN?h78O! zmI+16OJUly36%3K_I-+?c-Nwsr&G6qHWVOmb`;*gGDdNDHmD_2WTT3D^}LO*1EHHy zwG{=an8}7-8Du)CDO!VjHKTk{8&v&Riitlqd(|V$M!y>5D|ZtnsiV_$B>9FKR;WiZ zrsPcSq|4=|=7+9F5nZ$7KADfOtb!+$Qg-pn@>1dqRvSPA3V@H}mnJ1h;+9q1| z1X)3Z6tjX-1G@8aZ^)C?2z@jKjY130C}PYj>G~DSN0o}B37Ivpwv-8B6}U94eX<_$ zX`zye)MM&5SuwSU1X0!T4nE++)Q1n44N)408m{)S{l$f5KKWP7vl%AKm zv3WhQf1Rl$Uohpp6G|b<8rZ8Ti($Ry&}GHwQ!FWdjAhj}M5h)<^WjQDKtc^REq>n= zO$(h~MU<64OXrhmNtaoFuj5HXUMex8S`Kccg7nTK#tdQ%ehIsRv$S+=Qn7w|vLXFR zsB!aWki2zBTM+xFH%r&-rQ^1;G#_fwT9xgZ)5y*5+RYL}@(gELRcZg_Xw~HLBK=Y- zQ9f~o8ZHcVbGuMQwpb9D>W$f^=FLmhBeQr|7iD=V*rKyx=JazF+!xYee5wjHr0-*@ z%bPF0{Dl>-$Yk}NXHKeS*5WjHXl*7 z**hvCPo0#uQOCsb*3a?uWyH67&?uu@u4y~8p38eFaL{mwF@?uE(S~vJ zQ-u3LT}L;2FT?{Bbz}G;bFOVbYtB(6BA+Kjw~Cq7LuM?wRRa-pZPc72KC^=2+PF=S zr)@{+-m2?InN6!kweVQWvd_@iW67mnhxosqVMoM~3eElpQMMr8nLD zVBBQK(lvU}P^w8s{qG%9YVnH`r`w9<)I4@xf)O^-B#u#JKUa*W3jd)3S4=;&8C`fjgCVNpqjxsU&MQOy zg<8)0Af)J6wz*i?`y}+QLC$aX1FrM z7y%P5SNko^%beCU$xBBrPWecGJ{hD4V_28Ty`AsiC2tn?tIj_-(|>Hi7cJr+o!Hj?eETiz@WNRDy&hb9>Y@L zvU05MW=p!3Q{b`bG(r`Ig>ZLa+S;bgU(dbOOaHk;u~(5;hE-MWSlzX;YB`~*+0ZJA zCZk06PN+WRrrG=y>hA_B;@Y2 z0MLTL2Vc6v68Me+@la%QCa2b*llRtZfdub(kwz>;Nh{qG>4fuJiM-dS#<9p^7l#ib>4mv#We?g!_bd^g&E2Xj8|Csni! zA>tyzLl%%4=yOo;@7VvXX`}aFhjWGn_J=`kgh51OkpMdf`@rJ0clw|H162VBx>Vxo z+kjks>1uQ%pt4(5x=phI>0wLF17%dRh!H~fXN=|*07tG)ul~cnCe{II^Az0lnLSb! zB!szTY2pOc1?~likR%MKkMu(uQ~(5Uz)GAGAO{iLt0Py~3|K(S;=+!0ZZ*97Kr)B~ z1BkxMb}R{m)He?l18CbkJApw1+D~pnf#vB~>7E^~y>iL`GHHNG02pC85QCZDVAcd^ zQ+->5D*b^73zVrk5a5G#v}1yKd(i?@ENC#PIxrzgY%rQZVE)zI$O7S?a&-d?oe01M zCyDz5&@ZXb6`$51#%BRVR+9Jn2wwoUh@62K36TsRFpr=G<`MYCL6WFb-GvbcT@G|7 zJ%n@!D!6cAtSns6V1J6ix@PFW)Kl|c9@KR5x$YxCfa1uTxeTUnA~yUXH&l0hOAfK!>7)uZz?2EDrZr3bDB?2OMLqia-T`^AR-}1heD1ujA~ST z8&GQM|LD`ls}HvTK~>!!eQETiud}$;PLrH48CnSdQ6=kqMPErRw^K}0_O%VAs+koc zSH>TKuq(VdTx-^l(ORv4G(egBeNLDq9HW{LplCke(QiuuT1pxCfAF&vVk3Yg!{6W5 zi|yt@vY+x#9#b(XpNhk%SznIp)CCc#6U9m6$~c>QUswnOg4x5z zB*?Vtx*l5sLkb7j1RC9ds9>FEAuTT1$e9`!0os5G*9A(}=udP0cz~v?hzIyX9Um|O zazwrsXafb7fC8qAd3eM4K{lO#^pzU_=o=N*D2HOYE~07z%q`&ujjpm2O+b8l1}LJt zRgDWv{Hj>z7jX|wfE3k34rUP2{4g1e*13sni6qE$$Y3sTboiE$r(wMj+KuskJTR8= ze)~p^2K>7*G&H$}u<$4*CJ-e`cRnK6!w;%NJb_NslFwZZw9RmyD@2ifoG<*gI*^<< z(v_=V$YP#|i-=Eoy7arU##O~XRB0TkArg=po--m8j6W=D_j*?AKPCazlJVqiOoe`> z**q(ggor^j10AOt!w-sXtN|#rGbyp0k7ol^m2%-04MXA4HL77On!j%z#ScQF+)qXa3$u*wHtmAxoB+y~ zc}I6+ZvZ*uEWJQ-6s*lF;-AMIg!BLL9qfMw*TINj%zHrpLI;2mfZ5&!y3Y_g)BZ=l z;Oq+ zfa}u70Jr}|28N(iC-^2UJk2Lq3$Pr(R?Rvex$F@{pwNdOB>T|}kf^~`-LnButy6jy zuh;5FG{7Q;A+)eDTf4;w&Nrx+Ey@69Xd@J7_E3ftK#(m{m}iR zGdH^e=#bR06Hh%r)ivxzYP0j321&(h|9sRwN)#Q(E2d29PB@F_t% zNDh*rf3^^c0BA&SaB6m_pPVQH&?j6HPvGj%9jGuTwu_TkBLygcun>6)kOM~=?FfvZ z9dKpIun60w1jP0N2zdce&mTRCc#88DqUH<#;mvEzSq$O$9H0OM`Qu0SYx5s{E2GjB zAeFZ5r-;a)p)$ zSzJ4ov-xDDT_#W`TLX@~t#E)NLW|9$PJ#f(fl-2h2f0=#8)T3~ME8Fz?zi1mW6z=r zIKG@P_c$G(@22Cdz;iUQ5LA%c=|3jlS$j7%@EOy_3#9-|bogyRhtv20(JuA?6iyDw z%Hy?Ku$l^h!GT#pM~wd^c87Pr@i%z>EB8~e>+f$VOH(k{c}^Gtk|zKZbxG6EoZldP z;A{fa8u#d3r@H~!5XH9wDq{|$P?b0T$sFbi1VSnV3cg>MGVXxTAi8_@_eX(scfbM@ z4Y&5V045T1wWNT%Byep7CwP_sYAe13I1UDKzIP!4NiN9qQ%Z<;uTMb^Cc*ZViR0;DbZevb+SD)7K_)6sGRs-v$R+ZdSyBqUj(yFvoUv>si{9H$O2Y1M}bfv&&6 zW%sKg;}H=222`0*(wh9eSxLZ9Y-LUVUCHXvbN;N3@UD7JHYKTN0UB(L|3vuL47g>0 zFuD3||Iq*vh{y-ZaKL1A>_3y+QICD}yICR;=#p$qUw-W?T**1JyEHvxfDOWHq+L+% zI$XCV_FmVE>Q+5YsvLNG$hCMo2sb$vV9PTIP6F^lN~hQpx1_%qPpX%HC3mDNuv zxQI10hgQ1(iL{uji=P&t6GPL9cNM`=WXeIK_%a%2Q32J!+RtJYH-3)E zI)HCkkADOa)bo8Nm&J&a31ZWMkMd6Qgb z4?zC#lE&M~FuZaj_3PRE!rUj zl3jme#;6za%}vHgHZ&N5l8EF41ErS&qFnd$iGG`N>r8V)@v>n@N**FdX=UX-FR#^I z%7_jdizkWd)k0s(=KFWBVvTe6LiE@sKO!EtM07Ld;7)5B&YLw4_J@P^?Z(N+lzA{X zKU_QJ*;9?|3{`tu)oqEJ^DA!5;9d89&Giu3IKZ(&$oqj1oKnubH%{QAt;Ga%@yNG*#gucWL8#|rc5p#GSuh?Fw0m9$2w&_h zp$+y~uu@Y?Py0oTAIuA+eqUn(m^C0?HPCbK&L5?^ta!lpkt5$A`z|L0F^$OmdPIBR zQAkR_qiSJ219c-av%x^~I5B4I^Q4aHWLghSP+ADOm(SPL6Umx1FUv>^_rz?wyaw?t4<9fuAY5U3cME#oCfG14#-?*SciA;GGJQFb_lplckE#Yysx*icCK;8VxjNRAcE>%t zGOSaGH@pAnf=#}|<>e^19|7!O%;@4oJF#OW_G#%eqy9_tieOHLWJ_uvS8daimM)~x zz+5chP#hcDWI)d|KU1=s99z;Hw?dZb6>$OXUFzt(QF#i}<*4jSv4q~uEW{Plmw$ok z)Cqa`8Z&N$Pr;5`PivN_-h#wxE4RG^fd{1n<@cNl+=%wNC15ja4F>|%ZaK^bEl>yN zHFM^Q0RKJm=;649=$x!o#FT{nVmR}Cbf4~mh3M+Qr-*hoPaE6n<6n7ego)_CDVLWK zY#F)lAhf1el)8vIZih~3yIu|FG}p1sy-b?s*lnAbT63RpM|>Ko~YQ!%0M0`?r@ol9P%~6EC7A%Qveg34YcgI{X_FLgw2Rb&hp>af! za4XeHLn#9^XQ>2XfwEc^-b#c1Twu%mKrRy(TWM4@;-MvPP|M%H`d7RkwQ4F?Tt_*q zRJ_k&PBI!6W{s1_b6c^IK;1c|z$(49x!c zO{k?Js9wcDUs_>xsc}Y18r{ZqC>@9I43f3l1t7^|3Xm%+$d(nLmpa(ed<+67|0C%* z$~gCGh;Rsy#qwok(!_1rd#}B{DyD}q7kWI4q?hb)TZ)hum{VS#VwTe3ZUw$lP||9qe_CUvZ2- zaf~?*D9 z5P;p+;4cPo=#AIA+X0!mW)~DVQ~S$lMeuy01H;mwuQ9H?Y0l+<=&Xud_YD-$0!;v4LnMv z=@1VM5ral&IXJZX#;wsr>%|dAv04AO#NJ6pdx_m`c1PLI|JIF9tC%0sakyZmw|tP* zi7tq?MlIW}_F7OdExIaCKHk$?HwbV;@SfT={FU+S5Fp5Kz5=nvbPoLz0d02)KrB1Q zm4ogFB?7_53sHizMfasYq6Bv~gp0qewxZ7v#yXil(fFKC)~@-}4_G1lEtxGOcIA}y zt*1#LW|#H-7Rx#gWl{7H4h9_%ZB~1q27Al2d42c#>`Qd?&3HQ%MQW0XNa)=+CLYsB zYK4Js6!}InAAzMc((zK5WwP`n#tAk<2bWR%IU>L*#bzG&0FV$G1gG?k{|Ag|yb%hX z!QtQWCS5r!I3CFa=` zFiKmma1CO`MvG4uje=*0IWs)nQOS=bXRJkBoThmEej~woDckN|Zs7XI{TvN50u#Y3 z>91iGnJy@46}}l5keZ$vBn|u?H_M(g1`eknl3O73yh-%qK?X(0o!If?=Y%k{VnyCV z*q_Q{RcXP+sOt<8!SXS(@C<~U z^RLJd!{wY+gTW^xGcocQ_$Yh)_r<8ia8a}No#7w>Dn!y;E;L9-+LKFM)SL1ne{cmm zLNf(y-uxe6`ML|~vS13;kKq7$X;?V;M1*Gm5Ec7~YBC7x=Poov58I6{H1u-|1E#Re z!C6GZ*}lhr+CU(-4^lDgA&vwEXga(bXb=_`;Nt!~-wGW!E@M9us%7y1V(%`1;^-cB z!Q<`_7~C~zaA$CLcM0z91SdEIch>|92@u>}gFA%a5Znp5P4fQjyYF{*YiqS?tInJ= zH9a9y1!KQ{o^C(!YU*Z^AV`(gYDr@eoElq95JumjEDW4DZL??)@M!h(M0DJqLPdl! z27rh8Un%q-GMt~?xpM^DAKg^-ZXH<(|bqgf+pFI z1&qy%;gI_u`ONzR^<}e=;l6`E$ry_ym!LvH=B$`b4xo#`j*g(OM?-tA9P}E59FvTb z(&LnvoZq>Gl5Ooq^y3gRWis#&N*-z)HSA*cV0;hd1kv z$m|xfazcyvU;uH;#Bo-{eCmWNQ#Q3;!qh;kn}jcLDcJCwc4C z-`tUV;Ir8LYVrTIoOOq&ystZZT3)?(Y$w(?L?gDnU;MxdLtOnf*fDV$H)v#JOt|Mt zivW2Wg(&&Z{ghlD~ zLOXu&wfEgMzfkFXmv)2;O|m{t6D441I5EK4bc?KiquQ)|sS*={!1iIy+5DATQUXLW zq!KUthKXgv*_9w0FRa7jT=*D4ry>!#)>U)FR7 zGqD>Va$nkD5P$0wD>%06_vA0k^@Fjgsop*)%pT!v;p4mEFXomT{+gC#jaE|CchcX{ z#oH;*nG!<`_4965^7G^8cjdW(Q@&sA&!GhV(~W;^zy^kW z5Fs!E12Vlt5_ow7K^%ap#vayLb`M7)_V6b!Jad{yz9h_1zh!?CnTLWhh(R)jlsvie zUvI{?63aYn=&4pav7@b-z@tN7E z+n8c~Z)15fI4GP5QX44}Lf(cVA6fa_8e?2ktG+a(AF`k^v1Xo?etiu8t4pTSVH^r0 ziv?UN9{ZL#O3a|A=bq0upFxoj*T}9e@%9-pRwP)9s}-n z4n+rl-o8RxUb~M!y1Xao@URit0FPqalCbwu6BiIopnPom6({A`W2ZWF8|?cSK!ceZ zQ3-0xlL^(N_7P5!RTv2mzZLH^PC~HXJ12RIksGldVTkHJ;l_C{VEPTA;3{NPh2m2{ zFOf+wiYvt@yFvW7Fl{R`-sO^0wt3>W{$7h#h?9DY$q{q(K1NyRlS{ab5g59{L2K}B zc#5HaW+8eXmTZJ7Qg4={v034?=?m*ONmkTzW6eq|LPrOK2-O2=6Nkrsg8q-EJ!V~9 z8#SyVMX>~nCA~I zC_AjwKbAm;hmS({IXCO&68>w|EYo*KsWFuz(Q`LOjq8nR^c>)j;EX}(H@QM<%Jf&% zHOxhKbAnOA@uV#0)nQ@_EHpfy$kJoRG5B6!hyECZ*}NEuZVkL*;>6U>jSE7p44EQ& z6!`J0-Ouo`S!!j>wIf$}jXB~%>2&Gh>G0v{z7!nhXZFx8?BCnpV7p~c*yHfZY(c>S zrDZ6_ggfI5PVi!XYuQTd1Yt^05&M8A*uZ7Yb~|fg&pV(r>g)x-Xe3z?Sj~9u_CBE# znyAI$6Dha5jHM9dWO zyyzFsRz2D&TZPf4M=WG^b)&>|Shp|9aI|3q}BaMJ!lKuOyv4 z?NvtIwU{<852p>+UGDAtXl!AO&r%-&2YnL7zQvL;U@4W7MP9jrqOxuAR#z{){Ifa2 zCw8W(91#U`I2#6bWwi{{wdK5phcozWRP|Q9ji8IVmn-pF!kG$>*X_R`8)Xz7l_u?e z+#fpeAf7Iw4eU-d0te7VHM~6aS?Or&1+S&?e6@aky+m|3a-*1DrE7jtbJqQ&@Q?Rd(d2%`3wES2(C6{!BMCTQfju~y!f&A|$2qndqcFv@D>^h8;hzmf-8Hf#pF z^0?Au+zYT4@|~!KNq*Rk`OC9FBTT-b=)zN3OO~@!wiVSd4CvDci3{o!ndlTjl4zL9 z?)07YDqtF_rF2QneNj&9QaT$q$gNzRVt;sy@c^Msr~pH^r6Avnvp)~548{yZiZV8a zXvL0yz69dp`H^5iYO^Y$BaG@$bf!~5p(s=2PXmhqh2A>96xL1oL)=e~j#%t5;5_lK zlc4d8uI~~`LTB2#Txp@)IF}OfRy}rUeu$2f)|53)96kl`g-Ea&`8vIC{G8vCpxKoT z2UThC){Zg2Kfr3B!NI14U@{8ffx0Ll-~ikuqx{>&E0|#F1qa;#r$4woXxLf7=(T!n z8&!bzu@D; z5Vw~%&QPB^hPmGhU!UYJY69=ep2$Ru$`T~P%=suB52Ky(eC(`A<91f8wY|ys+JPfS zU0NjpAMw^@7E(X#HFPA(f7E)RUfkX-#YuF-%?hz(4wG+CY7d1%)>8$6?*KdK^im{T zuM2w;;AeSJ%-9otnAfZ9i1jD3_M2?un}$L8?`CheFS+|cNd=* z@aMlPbHbuqS@y^sY?#(@$ssnEz(hChrD37SAvVY%ddMM?B;=OD6cvQ{F!Ri~c9~!^ z@=Ssa5A2vz;t=nf?)%Sg)B^H0T9{w%&gTeqJ#0Tb9Il@nQP-_~i*R83$exv)Rs|V< z3UE`ncSlL(2w6c-m%9b_9L=PMRZ%Nk>aIt`ztdT z4HX5aIF^7dtFQw-gamKZ`SR2I(O^pK;DfuZ{n5MkOWR)*3nCa2SH4f4U@|ZSjzDEK zI34Y;9OEqp(Mojc`n~9AKVz!u>l$>;kjpewlh&$1mqA%9&JV)FmwZ{q_nJs2lyr1X z^VNNZ@sf(=*%z@lU6=Nnqf6byKGNkW3x1LPdy+i#7DvuP^LLL#q=J&mpbYW7#H3fT z8(l~qnzcXQXI0lEMt)yVPI}EM^L^!$gH0*Jl$!LRxQl5;3Jfh9Y^tl}*q9p8z0Tw; z9zJjic~{IgmKX9aF;xm*Gc3P`*goI0Dkc5f<s;h_E4`O>VX4rrRlT^nK%5uYnZV0GBWqVcYOs~qgI(3jWx+c%xqzd_ zpYJ?kvY}~8(w0^vRMqQY$}0-oZ6u{grm9ycl*n86Mq2Q&UXf}ySU1uig_n4GLGl5| z<-SnHG@4S1FO%weXggc_WgV(KX~7SJ1{;0&WJ(8ntfDD!mPCfk@@pnmK_fj6rrI0l zD?uy&w2V)BvruLs`nyE>=IGTQ;f)qU-XC>oT<53QQ(fbKYKZOqv?Dxs^WPRr6eYhtt9rE{AMW$OC zzP1EeoQ|izpI*~2so~VTF|)OuW#hzheR;xwvi2s~wfnFcf+R8fm7$Te;QJ-zr65T( z_C_qVlW&_#zC+#zJHyxXGh>WNgPTWOk@kX1%a#0J&P34oYG9V8ZG+DCsTP7U(?3>_ zm&2B(*>+UgE+b@{y^qsjS|FIcUpo2xV)o05``Ff?_1QH=gC$Q#oo9gA$z%T-s)DL1 ztuyCq-S=)J^IGy|lL@AprViEM(GR_!=0MO@ir;Ap3G~NgW89}UoHV~?WO*l*2OWRt zT^r31M{TjBF7@HtT^v5n={*pm{5lhvP5WMIMlX^n;X>xBIN)WSmd8^#$%diaCpp4M zC9{#Du~BLHhgpb3O;e2>6vG%*9olyZ*qWx%d!HD{C@#-fkJa(sth2 z(A9}*RlTVLOmJ2)bGqQQph+)3P1EIIW=VV*ljTcuRqK|bd{z&YjgL4D{76V6jE?1> zKY2SVz$opUNL}27-1%BQtz~w=UwAq`q3xV>3nzOXHUuu!RdyUgRjU4;Wq;4%zvnE& zpQs*sGg*fOGYuBpZIe70nx=XMS$u8|2N+k{XzZ1Py2gIc+;>$=S~#Hf&M`O6(I5?x zwvH-r*Wp!bqrFcvmOTHmU8x@n3exeu-lD9Qis~K%lDyGOfC{p_(FcnT73J$buk~g! z_Y#RnHtMcBxGtt&u#Jy+*}pLUj2_Lo$n0PFkihd~feZD1CV`mb>hrxEnX$kH@q;#5Dsksb11#~QLUZGD)>IN0g;P~Odd#}jR&S4YQ& z+wsv<#h5X`ysxlR+mCp|HV7Ze?QM-8mGI|jSxr;9JtAh*PCIHPNpp$#QM9*S zEiK=YGGECijWdJj+cDW*=NI1wjwgI8;unj8jzp5R9^Mkx%cmn^`I z;R4ivD~9A^b7^0ln?y}ZcPb0A;joStlfOYUiLb2lf1aoO%h*f_AkMuPTT$du2_DigO2a`v z@yu1x-(jlq5EI~&S56~R&~WUOpxwkITo(x;Q?|m0I~PKik`ORzSPoS8U*PZcDM}^1 zcYax_VFNXb*(KhigVjwEnW>Po|Ek+29$4+!yK~jYXw>PsLHNv zO=VyLc*n=`42+f%02B7|Vh$Io{q{#uz#k(OBUpiZ4PijpV6%~>ePxb^RHW~F;;P7)_GaNGN9QVSc@iN>pd0Ssnk6Nff<`twrSEg`hpGxr7 z<_Xpmfxf3uO161OUfA^X6s`KF1wU|X(8}3wS5zFYp&Lyn58G!iW=#vn>7U2Yg~HjV zOzfs3P)&CcL&CO|Hc3S-;1j_N$NKl#`%>ZMjrQclD`-I$_J1wQoC_h`GH3g$dA;#* zg(lRZDe;94zvs8qqJ(5fSjhE8G%cH^5>B=aMcVBI=p@vJl zz~eOpBrujQpCQpqA2o`t8ZK;FC8S%S0=&5}voRzblRNmX$VX4ts@zt!yj1>yhWN4T zt?0IVq&~kKeRCg<37bSmy6LF`V}yAp^oj<$`ZS$TgKV`L8C6~xTeHGe34h>5oT3h$ zP2&ZZxKg;!A41%BQ#iWlg2}a>CMwRaiU=O476|8+d1fn@sLS(=0QuA2zKiz;D=Vf z2SMT}EB6FZsX>V#e$~kWnXb3m%B-2VI5YBKm9f|NGr^r|so2Mhn-LlRj)#JFdnQ3A zxVIib=*X>qb}|v6v7OrEK`?!^!KEAba|~YMI4)$G6%0 z4YV$=ZR#;0)n@U3=sGP2TS*VqI(AuDPjx1cTLB*N$P2_wKCS$G-7@Jm>{Ur8yeM5( zIr2|D1eyIE9u7B;pN$56te)0sfOi`Y$UcK_#>Ln(Rfj-k^ZOZSEq%UtE9?yHeoBRk5n@AT!UeXdFCkj#2^L6m)of;KQu_M+mx}AN{ zLOvg8tZQQH>11TLug14`Rp93EZtK{E=NC+kU>WNP;mkt&i4tjD-SP7t*4nI5kVg{! zUpCkZp76WBQyodNow5QB!J#Lxvd=j z^>m7YN+JS4xxrU-akZ>ff!_vEVZ-`))U0B@+RFXJo_@v z(ni^2=_1Nq*u?=0w6Vu8oJ%F29RDOv>s&$)&6$Es>j$w`IwDWG^ z#Sg6N`8W&gj<%CGo%Zjhm$5mrTAuibI2g+(Ja~lOv>OPmJDwIs%x^s%Q=^@?_a-V* z*3a(@8nt_N+s!g;O?BX$gG7Q^)~6@o>p~@>@ftmI?NJZnFe{71L0p8`(6ManlOT3r zsqfN~H>EPQD%3i=Z8cZ_9O(d=8G^L*b#E@H*m)hp5N#gwNz9LayNL_u430xocLW=d zw$aMAFnzA;^Vl02bhbDFZ7CU;53ba&l%X{1u@IBpk^`Mte{=@BvpbGQRU0Qk*K3@` z-Y5)+5`$OjRUR+))?-Iz6GDXTnUfAgsWG@RxtjKz1XdO^ALLMO&@S_&PbHGMw`ot; zRJOOZ^f~uH2q>2*D8-l6bQSnlft`A}Hu9>4X2-qu}gSVOBr5BxkO z9{9=>!RrqILZ~alKtpfjc)>hhJ~eGz1R`_>JNt+jk7GghQ(+nhVnOE6U>fgXE#9y3 zzH5?N^*n0y^_O=4ztI2gJ>F!+y>HN2|8a%5sDO4zllRVkglNjmERStHiG0HhkwAkL zP36Nf1fgrk>TE`ci}8DPG`p2F>kGuJ=SocsSzo=oc2Y@@xuJ`M%9d<%Bh<8 zQzBNTM>B{@VJNToP1~2k#f5>pTiDIIqUeSts#HplmQ%Aqa-C z`B6mRht&8UsABI{7Ca>TIYTj-w!1oarI zJ1s;bq4}zGnYGMZaL8ef)NiCM5vb$i|8&*XL5+v<_wJNGiBQ{XIBPxm+c zzA_&gZwvHdjz(#=&22j=A5-T8P1YRgYdC3<^bSBv2cXoMFR^#WJXN>zCt?o=dQb9Z z!Oq=smj$&MHv?hY3Z%)yTIc1)+j`cMI)^a%IZEh4DQI-1bMSX))1PPW6HJ4E#TQjC&6uxiv zgu9UNfK(0Cl$CLgu5tIOI@?WQC(Mg|P6o}KUU7_lPPdsEd5v$-mRHP9nkT_JSIoNa zC0QyR9fFUWSPetq{3=+!o!I3L^(nlgWu5pA&3eU*Thu$iiS@=4Z@ccM(G#5MgI8SP zIaS74tIkxbu`R0Ia;n}IeMqJBgNlYr`GivNiutSB356gkvof=4g}2kQ^EG2QwIM^& znpZnkqkh3n|7P7gbwAlV5ZhCGFQw#3GL(@Hp{v{6{nVzp96KjfK(=Z7@eC;3M zwSE(y#A_0LnEEaW5<-2Hx~@J9U|~a4JNfDr>5oJlYx6lPbvtHYqtG7hz)v0Nf@F9@ z)CGSs>JZ5*sN{^k!j2NtO^`g=27VOqrcqf-mkhy3{O zKM1zrg7OrLF6N-rxHw@xcV4<2Yq{GK2D#eJvb~4ZE7CQ3Xlp3sOKYk2Cc;~7_{;U~ zV%shVeYrVgB6E6Y6RfMgdg~jp#ezekbRxfe31_Rvn$|VL`NWr)_14CV^JN`ae)YA6 z*w8HZ1&s>yvQA`M274rd$Aql>mD_lI$})fCtQ5+S4z)|}2ND%W>IRW<+S#Q0Dj<+~ zN9Ysi%jA5P$+=OOvT$z2&W<%5z$sFkqMgDUf>)$I7pb3YiJD(1OW@{av11mTkWcfGN^^l zNe5|}7&gp2m3u~askMnFnD*kP= z{qLFj_bm22&nnbB!L=|QjJc!Q=+$Q#S`i_EHgcty zp$T9<5(%d#F{iD7Q{aGwYRMa=Y|p0nj{)`%sT2uF#asE#+O%l<$MODSK7lPxS`_8q zCFA)$%3YOZvioYPw39*6MmlwLtba*gJKE{zP83MKUM-<_c}v!G3NPz~-uc4kZwgfP zqUAdi(7+ET(E+h!=k zLGm%>mIJ+%{(*BYAGwYu%f8g%PIHS^FY;LLM_XWcXoGcY@A|3-)vlrSp3TcTYB#hr zIO7I@=06rnS-`(;b?JC1R6$?Fv40et_>B-#3{Ex*eGi3RsF}T(=X4Om@Sx1wD9k?> zb*Ok#@fqv_%R*VNtOnIY(|tJh=*i^;2^Z~-e!+kLkvy>+m1_fvtL-xoMoTp)2mlG`io>%cONuKoQ!$82po9qBQ z@tS40_=%EIT#%3T)ic0}$y@cx5g*}`N2$e!VH!de@=QSjP$`=u`DBW%E3lhQ|CVcp zXQ&_WU=4R+kV&a{2w;3s3bCc*uJ|UOrGWJ_zKK$$Bz9$TmZSmLI8=~<+m+yJ8ios} z`xWqGr)6ND|2NK{gGA?)aw%FtAWc}5L@(*HuHn)N@lGx+oNTIsm{I`U+Lf>Ebtt_C zrJGpEImRkMJbs92TRmJ*P;O9A&^OmY0-QYT+288-EPiA(QnVth(Tph=B5o8TOfW!b zaP)U*5brr!SpQ6W-?9(ZfP7~EhJ4D;$ae-H-{x=RAxAB?& zWqf}BG``Z6sf>RZUj#qj;j{5o{xQDY-^RzT@L!Ct_-~BQ=by&+C~uEuBha=$m+t2h zQM9qcbG%VFZv+)LZyWs_Aw-eqW+x=DTQ=q|ZuD~TimH{X7s{WIynt`wk#!PyG@#H8 zIUFy0S8sP(@8rXG9~y<5#Zu0ez@J;jn%37dboU|*AK*RzaGag53Ej5@qH=*0{#2?U z2{9x!2Wb;iRQl16*t1Vbsv>D0BMtc;&ocN2Mm(J_L9U~@G zOemyw{ij_P|F$5bOIG#wKR8Mt;LV{ivqZ#k{Ki)x?>RvtUUrtsPz+Xi8Ym>8HkR4{%n5Gug{jtYwr2&KH#Xu)5P4dijMQ}t zne!0|rM?wAC7TAXw6|W6Z?YM`>R?oUKnXg|BDcy*bL=P+!>mG6-9z|7=Ol&1$3YLm zL`ISXU@eoLt};VDPZz^$*riaA|B)5=f^P{Ya5T!*-cDN@fhzb{THGY)23dgd)FG&c zTrwawhdmeG{Mfm-Oiv&jkz|m%gCjHI7KjHLQ8$2(tc7+jP9ExC0~yLvV$H5Dn0%+(*h$C0q7MBld594@GdHGZYqC~9bTp)zS4_rTEcyG z_l$!$>~J<%)eaIfyMYJoSYtSR$Wsgoi24}>C}?765uJJ7Twc)sPkjGhBtD$c6(pom z23T0==1F=BH1kfCA-fRHGe2Kq!Jwjc{*SIhiSg4Bq8c8VDm0*_CPy>Y2zuxFer==6 zjYIy}0ZJ;^v$I(>$zRsWtl0Sb6Vn5P3?=Q~XU#d*o2AZn&$cAiK06^i^ua{n!X!=M ziGR~(LNqSiWjjGX z`T)n-aPlxMWoOsIwbsCPM8h7w`uZQ%=QzopC)6Ixbs2}Ty2VitN4Y?~+osum7n8ed zSqU<#tGY#&)p>*sV{D2LB?=M4cR1kWt;#myD5l0P;T1KNcA zb8;8XW6w4niXpvgCww{!^F#;`_De3{@cV=yGiAbNhw%|5ijqFK7`T9K9EYIj za_OtE_tCG~E-4rkBi$k5`XKxjlq}w$x*T%WX?CJk%@ThG7=j5DFQg1ebjtenu1;fi zBkX^M{GOc;Pg=9X=#q*Z%{d4QaK74`*xjFg?E)u8%JP$lkYaq~>V#@nMFhE^%FPu! z0+i>_pKTUM8y8URE%WP1;|&96i$`kL7_8yHN&3m?TMz#U`_*^(K4AL_KLyqYMR_6% zI0<=#wS*piE?Vq$Jb55>%KCnJ4Kub=-GK&=ihNK{95<2Ym^N`k*t)lQ)%3I+|5q_;TTU zr=14C3Db4TQW!UOIKKq$J-1vqzi%jIjTAR4BZkJ7qB8Rlp?fy$JYn^;LI0%1^26L# zxI=99RQJAnp@qr^2L%o6Vx`AflyrqU(bx)Wpz4Vm)bY{G&mGLrmcz?W^mhTb1^6049ohB=s~8mAzj6e>US+Pgnj}rEZaLb9qQ9056aH3lw+|53#m& z9UywWr`tAQt!(_N`eKCA))01+TM-*FOuk+K#VtG{@PAjtPs7-G((Yxu!3L1E$1SIb zC&g2S%k+N+KKyhUOD+zOw&^emW2gD`#(P!a#pn|%J2_3a<#lPA#rH@Isz#ed1aS|9 zAc*5vP?OBlT##^obPwuv(+eQvw}EJ-gYupcU7$#_H2TF<4HUC%p9u>cPddI9u#c`5 z?*-9yVeoJ38$LIUow$Fz(>;o@bt%sbhW}K*N$q}$gVmk`6y-Ed?UPlgV=uf(IsGYAG_74y`$sl z=eQx(AydE}E+v1xjQ1kJDMH6Kr*Zm?-mvXhWOpiGcmrQJwY!5^0KekPr>lp__WM-f z>2%}lQ!IZvyPcoTCf?KVCFdyu4BB^^1a5PDkXF0XAxb6CQPxKy%EKOHblGz^WZ4b} zdf=syjoE@`*}Ijm+ZsmQA>qI$WB9&wZkWyyNMR|V;oMyORfc21(@aKgra<4>)fX%7 zOVXR|b?t@qh^3Atr$WKOP@c!SM^{$gLx*|Sy-4NPE&4t%trSFr>PC*GS;aVnCYkn= z?7HSmOq@-<7vUh#F2hZC;?@{#qhke2_{2tO(6V8Q`=AnYa;l}=cIvbtXV116Yqmwt zRZc}8WMvuiGsn#5LmC}K-|}Z!x;Q6&M;v9pg8-DQkM7Jl7Wk$s;eGV;*dU>F4c7X= z;L;kEQ3Kgd;|sVtwnTKakyL@)F5ai@&bsG{Q^-#woW*Gew(N39+ z!@t6O1ScPG(`6^EEK6*H{8&Bz&H`1*XzNgWBr*>TioY0A zj?HrQ&7fJWFh8lBm@-{4lc=4Ta{WffLhu!{srpHw>=i+DH^JrsTI8)iMuYUpN!zz}2+9;fXC~yb4nb5!xOV}V(SGK`RUN{`(wXG#Ov{w1)LRf_%FnQE26^ORt*q3qz0@ z_?q$y+YW8p2(A8#@)_s>X+Cx@%hA~im^x3a`MRnq_e2C=%gWaGtX{uVLUOd+Aq6P# ze)%a+RB|PIna43;G~i1ge?ZMx>l=jH=|^W}_hSKE>dNHiYjtS|8Y_YuDQ z-w{5OKM_9IKM_8|FOn5~hQ-bk2dAGI zNk6sQLket?v5opUm5Zf1a%1T6r`1yc>zk9&b-jxls^lqw-M(KtGLSinpd6% zA{w&4XPM`DR{42$pMKxbK#1?z`JO^x$~>{m9?hJF`4TTkIeN=dK+C0= zO3wkk<_t-I@b1V}#c6^3o*;HC$?yE0Wg#Zx@BE$yM^x#v`mMeQY9K!J%%lmUn6g|8pp>=QAYaZNqZ&qBvX=WV>SgmjQ(4_Fp$lj`Je? z83#n_Se9qDFM$XCO-qvzDWhiB&8R?JOn!dzlA;?6mBM`0s0wRy;~C z>!8cCMy$zzU#3`P)`wO0_F05sP1A=|UzU7ufjt4snP(&M&=)O&)+Jdx#GIZI^7N|j zL**a#5*Hy8+dux_Yg6QAE$<2OYr96^sEvPi>MQFj~4CEVl9V&>ynaMX{ z9y&`5tn(J3f)xY`<*E(@?yx~XV|iuNTG~i-aCT(AFd`S3fl=K}`^ z_ng~P_ES_3`3Lj`rW55rbTNKZ7loLLWNZYKuaKScITL62oYxzV0`hv1t`x}-4)RHAq8xhG4QY2h~DBrAHFTjER;=qra zK?kl<`2^8L$4LOFH*AUvS=`DeY9caBI6#{$5kZS0O?u& ztD8O1)j$Q;q3|Wv9*r{?%6#ey$n1S{=lmVzi++ysX#r6_zNr^T&lY(PGhE0{2jjL1 zY{ve<^c69x6=p3#{=gZdyOoEpVtpC) zSvFxZ!=;SfTr{0)-%gtwgRR?7yHY8~#ze$5fp40Z46ZooP#zoltMzRm{_)3V*57qu z>%XZBubEDA3vKY*I&lk=W`z(av2Y>Ld;uEAS9a&3`17C3- zY?0FXgp?gSvZ9$NTkE#mdj$l#EI4@H4jV6w?Egh4*oQ(c?!f+EgeAB9Z5>3IqN2{(Vfh49Ioq|xzOxeH+qAX=*}8q3(78>+w+8eJnVY%#uSHbhKzxP z7GnOjcx`r-&n|fVszTW8Uh3N3o~$d)8$%MC+#ELPwU5qTOV>Aac*3vl_^NFcpOE3w z_9L&R3~$C!=rNGeaz`Qs11fw-T%@Z^t4G&KuN|@Uyly18P5_g&P`^x>;o9P6zccqw z54iB{7TU2tujWFTd_>Q{a})SA-`nLhPa!G+IQgA4SercZT7Pz!bG$ETt*9%Su;SnH^SwGihH98A?e<@sni)sRf+C_Gn;4&SL-kP48uGK?H2O z-o`Sl5aVe;5YPc;a^mS7tf_PDzsUda-2aUpVC{nb@;y!R0%Luq;qZMg*W3TO|NBIw z#~b?-I4oz6015O-eLnG*9m+&ujJvqOMsYMBXBCD?C1j*~FYvM!Tti8I3yt|iJGo2g za_(Sa#h4_FyoP0yZI?ZAAvtwVJ8V{o1hiOqzQpj*>29li&$J76`myLV{Z>Wc<7>*C zN@lfH=Wf@%Z4 z;4v9Q+YpC&D0&u1l)^%z@PImf9LSb4y(2(sQnr_xtxFcjUGK+pXz^Z z{YpEzxd}Q8zRvIZ(z{_C++9Q~nyY;x&QTK6R5I+v%d^>>@2@0UJ^cy-KEF0yJtC-K zLPXC5f-^gA9ZpLQMxTio8ErTfVN#qz#CJd2(TDRBZVo~RL3u|aQT#f~x}O2U7gD2s zlV!bMiDSF^t_T8e@uAku!kdaIdkajWr)|Jo6|eoe1OtSV5GKSlV%8?8MBifpt>2PT zb1^YL3gcRtP%bxbba8}$4NQW$ zk75D!qP@>b6puo!cAsGOs_cfv4sqIQ6uhnMqnE`Hs`5lmLe`LJMgPosIM3bRx%gJR z>z#wVk^Q?*^IMBmS?+m;ehagSnELT-RQLN^5u_x@XmAt3!C!R1|7`oZMP-QLJE^}~ zHdYW=Hw zdm7+KU;i8BURR`xc5v+R!_y@u;c|5>up8h{q4yukM|e3}7VlVu+D0jU!=q5Xh2Syd zU6bM{RJ41S!O*W|_wp%N755F89Qj89#=wShDB4U1o(g2E0lwY>KJ44Ji6CTU=2iXR z1H~fhUJ-3+CUaKUUrxM8AzH&kr;t0*BNzF|259DVsH9Ays&3jSgrSTf-w=?ek?7)9 zl$EVw*u(ni+8QpENFIdkPB9^S(llKL?2O^Kdn^=lP4v@%}-CElRSVY}0{r{1-A z;SIa|Z>vz~+3^OO%$q*PR$OPx4^QwbG`wws;QB9zH9g>-;Cs7>t&82|ZQuwyEIwX> zKh_Puk)6m9?Q^{HwU2w;_N5)wta?TAQ;s|ylIr$c5Y-<;PWzLWv+wTWT>cMG0iSHB zNj)3epZwl)jE~T-k4lmvoW|!x{Dj`08ZU2oK2xj%u{6OA>gKi+@uqNZnrfV_7^b5L zW$|Z$x+(F_4@-K3=4LGGUXJG&TZ19)$>N=SS`^UK#+)w`MP#H9LGW8>jN056J0JFt zxOiFhUaWhqyD`pS_6idA3CBOJHtD1C-cIkls$f=!GAXhSiAEdf$*KC%(d%>~@+%U? zNZea30P2MJ|4@;aGCUCe1rB6+K7t6W1S>1VTBOwTMI;(o2>mStBd81>W`_~eSRM-! zjt+Cu8VfR`8mtBBZp%HGrA8}s+a zGasLa)*mNj?AoDT-u}_l`&H(I7i)^7jwb_FMUrQTF+*mR6C);X;N|$OdlH#JO^HI_ z!VU40`RV1XrsV5t$D79eCY!9QW5c|J)$g?q=k$vWqnHZZ*Z3EL_)tQr`6{qm7=6vC zwQM)b206TR@C~xtoedR43!*@ix5CBP7HIO;4|fHCQ$oPtZ6Llk0V`Si-{$w8Lw&jV zl5Vi5&)wYw$9xz4X*~QFb}W&kxNUyDbNgBL-x-k@-n;~oqy#_j5hfs~yM^KM?*o%Is}ElRt|0rz$OP-PQP5b(V3h&`6e*k$QoddVz`BOT;G=D<&N6;LC>c1Z;#t?S=@vcSZdd zbv~NCtpmc5b)`H1;FIPL*+!oCFehmzCpB+6>eG6CqDhc?@_wVQy>RjAR;dp7MfpInLM8qBtX z3VG_%IguFuvCLAkQPvl|m1aZ%dBf1%6V@|_OSQ@IL(QID@V*{>YkYowr$Gy@uOI<$ zfy+4e8vJyl4x25q=N@^1rs)MWK@s6*aeCdro&N5HtKTI9Ml9T11uV^q!V6axBzfgT z!y?mJ8F`pQLoq3ZF?DJoAioC%#Q4%RP6|KUTo&H%&@99uZ*f|6rrlFKjqhaWuTXhI zUC0YT06xYh>!(k7=INrmYapr(_jw$jI1=<(xiC)UD4DO`by|` zeh(0}=?$oD3ZOs+|6+5w7#VWD1;a^o=YD4?!8V9Kx1aw#Y$+DUl1@eDM$vz!_Wnym z4@eTtIGq9+Kjcy3zs>j!%GmWd0r@>3#y2nR`dNYPGc-YC^qZL$ZMnASfti+ch2Pw0 zrQ8+`nr$gpZR;5^b2)c^ihe(!ln0>Y57X$xhOQ{|d1);i-}D9lSc`QuV0&oy0Us@)BWj+V{o*sgJT zREK0|4_hb0SoYT;uA^|m={_yn6mQWE+%z&z`xTP6s2ck2@(ZC4vKwOl=P!PsjoyW zA-2*Nxm<&le1Sf{CI?+Kr`4cT;9!x_8>UFC?={=iM--dW(4JH`{HLMI!RN@tYOW@x zj4aPGAD}VcfXOqUNnEd6%UOB#dENG9rR$f&%mL>qd*5B|>v6}UzmQKXDS1IgA2nl+ zA!p#~#WaYcJUt~n%NE4`lC6qU68s+fOJsStRy`JB?~H;bXR^LOyCW87ZBEKU7H?9y z$<2peb){Vay)SJ^+Wx%>*%}nPLkE~wfZKfcQx&XOmpw|!=_r72n#aPc(Sc?L2rr(C zos#WX3tC(^QX_-ii%r%%XHdd>E+ON&rfac9(D1va8zs71I!N#tsB!;YN%!sVk}mT3 zhcl>pgSY>KwYQFnyXmrp6GE^c!QI{6-QC^Yod$vv+}+*X-644JK;s&uaVNOl&hySY z_uiR1-(TNar}nN>-Mv~$y8HZ2?V8>C@;7s@Aqpa`Owf7x!JBpeKey=;^&jO7VZCEzH!AGCK*xAl zlaI7o52=DTIIIH>DmZ8GKW(0~9B$9jvtJ%DD60qR01xuN z*u8-ePX>K605R}i#si%)r2VoQDIU@Akb)isVR}VNi}876=j4Oom&vdBqCOzf$BLZL zxn`6TQgF5&&NNWw^uzJK3r_gF%xOHhlH(2im9%Uzf-Dj|h`03)=i*C1nK`-+I}wmvlK# zfvRgo(G@vxvWBss^<<%m;#c8hdQa$qxMm*&7M%)njpf#@<+VMhH$UIJ${WnYDHMul zp~wqZVJ{sSM&6b-RKXZ~zNMsJ4c|F=e7a)o=xpZfLE`!-x4JWJ)dz^0oU#;7 zs8e1C74l@G1g2t8`O09hPQ1j6C(cNmgq1zyFd4VUsb>zx-kDZ|4dNZqVF&)u?uPIu zY6W~KS02Ha0_kt^V43X#>1PUh%9ULA+N1HdJg;u{WF#?y@N9kmpkt7e-+yQTx0Z4i zi|P6>t0*OU{V-XE1EuRz5#WdCFyoe4I%7poMoqg2lk#Nyl_%-1)g|>vQr@R)DwsIW zC44^MIW95>QHV~Ap8*qwkq~B@#&Yn8C(!4@8+GeR1^N zvy8E9g~57p6?sJuyN_sOIBF2W9E7nHc|@Qe{b!dd^zicuX#xB(_du%^-P2iPpGHF- z3V(6+5i3n7umj7T$akf0>A(*Sy^>Hl%@9VLgDu@ri1iD?zV7p+55Jt0V36@+ek9qUm4R=XSg{7{R?aJPFrGcq_CS#S2uEjGI+?bKSZjtmsfb^yD5M90Hddbqa-+d7U~%9u7TAH7KRDsc zrWB120wP8=Ncs=F^<_gt>Ur`b!i-;;i6MOobm4=ojDw&7=`IN(UExtMzIs+KLB4{e zRa>ELqpV(M>y)3|Erozk0xPq5wiJh*}LPOqW_Er!VwXyr5lHN z>b9;r#K;gm)Jk}dc6oy6&A0^pZfc0%PO#KvfGzl$39O#+G_opn+Em23b+sn>m zjQqnua?2Daf*#51`KZoxVt1>#GZ)wJ6(^!6_N1f#%;8JtCx$q8tKFHqtyP%f#g?bF z>nE?d<7!1sq$#|o2qnbogR&6pM?G$>ygXO)`JbQ(EnZ$c4w<8}P;n{YChU>2sWe!3 zM|mm3;x}&JbT9d0L87Q2nFM0f`!}hSFJQBJx$XeC||odXk~^X9eQ}17A7p6 zdPiaV33vxxu-+V4!_Kb1)qRZ}Sgr0%hO4%8L~Y;7t}Sb=q<>}MdJ6q`+RYP#B`D1z zu%Hq-)ol@}$_M_PtS56>pPbM21(K3V0kt1Cv;^{1B@o;KzFw|9@1`XO*3AWr<(`>D zZkR-d4Wq?HQRk+r1dGRn4Bz2mxOJ|}4iqX3{@?arBy+9%%7Mnprh|oxVW2L$R97vZ z)qlI0y0x#v81rCdT|zgWj%uRe?T6m?*Ag})L#dmG5H`gLYq4)p)}4&Su!d4Vf8wdj z5VkeO;>W<;k~F#!Wba!m%UCH* z4U2B^C!bv_1+3Uw{uBoBM{C^X6%sNc_aF}4V8{`NU;8&Q-%p|J?+9RxHuB~5rIn7M z?2lyvRXM@pyeP$;!dZXiazjdMMN~BlDyn|XFL13!OVpa6S6DR&t4>Jy&2I94_t67i{<~{}*@UdlT@XvISeNOmPL9ul@#x_?n%!MharO zI2Ppv*!|voSA>s((qdns^oV;k8`^wR&rDzbrJW0w(2bTY#vPAV+uSg6zFz_wZtdMp z-MGEKGHxWKzrO$;-$dWj_&YvH3Ns+YN4FUGB;B}~Po<0EZ(+}0<@BG|js7&)%zIzm z6YSl3KjzuMV|{(KWQ#AX5j6D=*?BCWX|P#}YN5$~fR!OEP{YkhvianuvVpu?Y;&H| z95(l%1V7oq?3*_E>)R`+D<5q?j_J0A$lEuyU8oDkU~?<(DVIYfiCq(KV%m5dVV|(eSLX+@>M%) zdp*Nq7s|+-%Zyo66JQcHiT7&mpIcAlr&sO6lbm-JV^|zp1Ph%)hbR2Z!v$||{FHDn zR;zpWUGF{^!ZW%n_ycW)g9M}t!spLmCCXYtika)Qr1_|XKi9Vk8JdFvp4uN85r1-A z_sh})8Ix^ii!hJMwpy$X#B~|x?0FVgk6H_4RKDepCC5-SJ0zff&BE^^_(A2Q*0u|0 zZ$?Nb_Jm(UYI=2Cs`}wcs1uw?wJ{MbwBhiDiRl**+Ov2sAQ7KjR|ZPPW94t^S9zEW z8;u#RN-_<&!c?7z+f9|yD;>{icX8dznUgD_n~|_eln1b0 z9gfHV_^^xBr$z);g-)Xuq?^h^`9eGh z^P@L3+($dg`g+A>Jzi{7>iy zmn>sofX32V>fwYyS4v6jPdmST-UBJ+v?sdW>K+G+f7OqYIr6GcZ@XjjAIjg}tG_910#B|y+Wouy zV&T$lT`^*Fr=F3?kx1k?{rP)xZz(EH9q$cAfQAdU-??Xx^r|!Rrpx*|nd+Y6{3Q*~ z<=;B<7y)0LtsICEr|5?YRzwyLAL(>|VVjWSA=7S$Yr#qm$#9Wq3^ZI;2a{$Ry20?| z3?E8YEx&L_p9TG>{Ve+B4tc+mVB3mBK_#>BGuoWzLSOQ9PeJmuBdW~N%Raag4k=TSYq3|nV@%{sX-I6|u*!&kAJt3~%>fr_nO2H2gQ!HF>l-P3O5OxM zKW9IpQA*(;eJXOl1Aq|_{dW8WRx=-ff-UIW50DDB`cVP8`Jg_r;^rE4{{r7xCTE}! z%Q_8KK0GTYRewwdL?Vw>vrQ#_SYP08%6Boq?zlKG?{!Srxh#A~Jcu)0U;$z8S-4%K z7rQBD7Q5AD6}#m`A7ekaG;1(cSFypKtjZ3^8`MdG((3D+H1;Ob8&rU^%G8I8kFZuJqISL|80U{zMwo?hs>9T5WRFzhaL&p91{L1d zWBXf^v6twIJ@=eb&~o;DrvbD2TP*g(NyH4vQnaz<3G^(!j@D^Rb7YUS=G8is8k^02 z939NZ?R6j=@uUE5i1veDj;;@NPL?`#4uTYQjwQl1%xwC2-cPED|176ZH(nC-c}uJ| zkKMV-69>1^Is$c;^VgpIgM3ST0ZjR|X81U}#Tbz%sfojTB)9U4#DRyuO}+!f!cc>z znmH|#<3bn~VaW<^nXyd_F5k5TdqC`_%YWLka=osv5^$d{cn6mIN2a;l*Bg@F4c+Sl zKG}#9p*vahl$}mJ?U|7_wB6A|6Y%_KX-WzH*cO0+gexThHiooS;v;6X?7zZ zvR!BVrs&(u!!Ad~(*}q2pE`S$+amipu5UzBmwo$gl~8X%=Oq`p*OW;`4h;T<;PQ5$ z$MJhqoq`f+ssA1Ep6fRLzHcunJ6WoSoIWeL z)`Q*bM>X!J{by{ZsvqAU%@aS~OcX;z>x|rg{cu72K_KkI10IA?1*F}C84(dDqg zD9+n0rq0xg(}yj^?cyiT)Jo|Scx-jm8VGTF8~nPi%NI8kp6ClCa1men)FX_CO$o;A zbnVntL-k&=s_`^4^~c0&8pFhuO>)6mU;B&1y!@QSnN+jk4&Ss zrlx78G2r(U(PMLVH1>DqGDE&=7mqxVhUZt~&EYr}u(3^&LxM%(_bo@HZpTS4!o zYOT31zz^v^js(zu#yz`tDDe4*on1Efz?JiRWlMYT_280c#zRew<1C+D!BcwAzwLLN z>x~7qA!}PsQH*N?|2`zZl>M4LRUMsM@YDFhgLg->1C^#J;-1Y*fFAT0B1#Z!HQzDt zV+X^>5C0TD+5?cY6Qs*^w~GQ)a?(KxD|dc2pW`3nfNZ|HY<39Ho+n5Tm5OqP*0Nlv zqn3Z^x{>=>8(Wt8kKKR;oW7%D>8~bOU1}cwtojU*84A0}SWAY9a+yiMnKO)AWLXJh99W++73DM)#qJ-)O7ISLV`|~H77upj= zs4&HydbY(iO?2z*m(~Oidr17dgZy^Rza@N@-68U8c>-!px^ROctDBBkzU2rt!?F>Z zYG;g z@J@deW1Fta)u=O8e&I#Ln1S3)%E=+J{}~$#41MV=DKzHXVSm~go0ozmZ$$3hibA1f zXls(sc1IT@UmHB9to4w(mJI2qQxhD13m4)T0@jZBeOl0?i2hMP#Tl8GkqvJILHeq~ zbx7mk-v(Sy`qLySLp=M_T4}<}KMm5i-?=1qg?*CU<#95b9l^1PHu=^06uc%R2k zBiaapzQn_A+6Z?;>E>6NLU8S=jttIFdft~@8CV`o11Db(KZA3Db(?kK9Jm6aHm>UG8`Mi;?8!gsg04iPJs zU+Vy3qOh;I^6{n4?R!v8=pwA*S?+E(fDhroLy9YDKGOV&VjRRVYNZ`(_p7wl?07MM zs=Lu{+?wx0)|>_XPq8Fb?GgK576_ph2;*WM!ddLgN1p8LJtLfa96-CZ*&;MFKCt9; z(IgtbjBr*-;-g?$`D#>m{xKX}rmnCsfY$1{S6;r5xH11n{0tg=0xP8G9(?~-Zc!$& z>97CkYOCpg3H)dqYG)r`eOc{jSEvUC|94Oaq5d870bj?*Zr%j{jFjGPy7905Bfs98 z-xqSfbF#7zAI5RMntn?#$NTQ+|H+iFMc}$SBB1bicX5_pcws@Zy-O0E9s(g8>ri&+ zBnvYIwd9r1^9H3S^}g>Z?99-#3d{V7g6!QV+h1T(@Y~G{$r{u9=|P_H8}-3lSzcBj z!Q4#Q%L((l*Uvup$0d$;oa;J)ceqE*H2*PbfyTvp|329jpdz*R6ScXbV9DuGSu+1? za${MZ%H1E;+AJBC6SH(TmPsCLiyZhSg-~tE!RjRAUI)P?p})3OlXtN=^X=rI>-J{j z>CxfUr_HZdH~j)*zboX?!T7&c_Z%8C6X(_k?9ZmOgo9y@c7|%P(M-tPQ_{Y+(QukGM;sHRT{Zdk*&hxI~H8E4x z$5!_id9XFu{U#@nMcuVMSZQax>;9?EF+l?O1EBe}v zTIhr9nl*#%3wztB)>}oe;LYt0<5a@=bF!cK#t`4tW9f6xyT>B4DW-pfl%}s_Q}64E zJ=+i9JL^hxgn7WXx7k|HI~oUe8?M7tl#CnkQ&rurmYA%r_b*$|A8_ zXV#o}nrco+ljTots`jdaTEVSyP~k$=1EM{t)5eIg@!c}R0@j(9{_PP7rcHZ0qv2E8 z&gv8^$qKTk5Fu*MMu@bXSvVeA7>!G#&%CtDI{ikU3#an5->)=T0xO|!%=(W=%iUE4 zCf+c;4b%3yVokeJ^a5{p`y|88!v7=>x{pOpjz6P3Zw;dhsgQmDL}SxwSz1O(cs=op z8%-R72qv)E3|Vy-Ey&i)GQLKb140=a7+~l#2OP`E4Vo?KX73W<5t}b~EVNkutG@MSR2#TME)q12Tw2lu{M~%s8 z{Q+fDr$FA6r5#KP%)Mfo@vmTaZuV`K@=Tl&-xPazkO+Dx8t zy|2L9G97_4ph<*NwCEmyCWNf>lw~r>%|rFZFmXS;#m5}V%yLf-pS+w#;g$Nxa!ABs ztsYFWL$f}HfhCqp*g^ePx>Z=x_pM;C<4*3(bj0*`^k`;U85-8y``W`h#ao`obYiz^ zF3ro<$UFG>m3L}7+%PEc027wT#?E zG_qSfVTwve$4ns7M=F6_dTQ@hV1>ZcirIi}HmY&hrB`bYQ}%s+g~P2_9^d-Fk`lQ^ zT5N-Eo2-!Gh#cKoC4mBcJLL0wLh&*gHOJAmyq8B3!Dew7XT`p|gG*(HJbT+I%t9xR z(M_gC&6sFMH$kpuxhJ|{UC%iBg`T0F_t=8E4uD)hL4K!>%if7Q!(U1};*VxF!D9ps zWrF5Q$KKX-^PZl}blqXLZ1%{I;iL6qEEi#TU%M}j;?TLD!OgK7(^kUL#0?y8%@3fV zbD&(y-a?no>qSGd*>zL81Y>+FHNO7t=|H|0#r*h16gBiM7U25xfPzqdqrlq!&oesPB!RsG2^w zz+0bXzC{jFG*VGsrqGFCwI5kieN)<_bRiIJF#a{eK655pH7D)iIb6x0{rfR-RJM2T zDv@zT>V`baxYvwyDy_QNXUy2VcRgt_(xToOW63ULm_19^x#nW4;-seAVYhf7F@bzV zBiQoXZ_i-5sEmsO}ly zCv*V+5bHUd3;5{SlE?ai+cN&<6E|l3(kmFiwHu`wxV;5GgNOsY4?0Ph+@Io=%j@oL zXawp`l?jLsa9zNF;bKtuQ=**R59_@Eqv>$3b_zmdEGS#&=TT_$xgr86tfZw|doO56 zSm%MV=cbUGcQqcs6mw=z&Ei5y8}IUwnlqklbTTd;6^3@hGovo?gR%ChIXuKOV+!i> z$Yc05JNUfugJJEsMTe^yy~G~VjyFZfiG!1j@2`3-9^ei$n8SB@ZnN2~C! zvF?MnL z(-yaT@U4qCBz^vpS_LPb30r-nF9+hkJFCDAT)VM`ulSyQ@2@|-Z_d0rfNQ3mPLQ6R z4?cZc470_UeE1k%h3jB9;02^^_7~8}w38f@cTua>MP{{j1Haoj7R^96uVIxXoTA zu$l5Ni``UR8nj%vJu~J~{7rapv&)A@BF3aEUSBzL0J@W4mtnUpijQ34AaAJ|)}yh~ zAXK7njED>kbgguOG6|jo3^$k7>XjC>tpytx2t0P#G|XJlAT~PP61b0Eo2T2BPVk{~ z@P?lsX?*7>n1U!N#DHvR?M8=FQfm#NawLa9YmRCYq=%7lDZzjwUwXWHl8v+%q7;1O z#?w6RR9^DAD{MbMEz;7!fE2OL*TU6HZTYOEh5^@Q+>g~9X6~)k8eb@+yn;a26=41? zuUTGhaPKDZ-R3ZhVtXdFEq;*G)*U&?6e<5y3wr?(VYk_O>eAO?aF4mnP30kJt?LQT z(6F?W5J_{G?Q_y9y#;L$=q=)L+z8M$(xK1BZ-Z*eZsime?HnbOi1-0UrZK94=v$c3 zBfmn>6qJ%*3~QG7Be#OcSViig+wUu5V*K; z4&aYo^fO8}Zc6_^e~J;R#pGCcQN`<6A2lk>d#M}|-Y?i-e^rXFZ}J&JJVDJ+)&p`G z3A}xw2_M`GrVg$+-njGx4?m6ihMdso>27DjwI!}s&SCUdw8AK~h&xr%2Y#`g^WKIv z@Qc~TofrNNdw^8gtIxQ5)zxhdT5p_dgQUdFa^i`G?iK$%6%Aw-9?6>zNa=LgbE|r| zWR>cTu36e&#sb(yb90Q~;hM(AFprL;o0?8GWK1%%Kh*Mkt;LOm8g!eR$ZM1$PI8(O zklVa|Dy+;H>CdZNhE!$_S<%JywncSNSIiGpQPSc2-b+khu>C>`OD#MjOp-)DDU+3; zZG8i@P@nlp_lBLJ&PM8cJ-{l$;nQ*C9y{+^A!lPrmHhQr2CC(U;Of!_CeKUF$6yK= zO0M}8uF}G46of=c#s*;BTCl*(lIQm?CSG`e#unClB9=(vgam2S%wV@95!PKYKn8}2 z*+_{NFs4y$k|$loOjc$?rUP|uyz+V|4QDvDiirb=mRXXiuwDj4c zrf~n_QN_m0Jbne)S~SQZ1^%p;=Ju!zeEK=DdKWnGH)@o5`}Yr2K}!|62q}D261^ z2F-19LJBC=nytq{ra9bFUIiixY|nibxu)P~c6WFGymF~cScd(mQJH=d`^b$TD5)oF z(89Y%GTgGqZLcn%0K9hBNc70Is`2BoZ74pN>u$EWl7Jht;g<@`y0`9@#VIotk#3aoS@OHNO<=r9VzXQ5?l$EfRDOM z2=}%6x_O6~;l$BB@a)RjH?&%kN+%vwW?N`JXNc4GXhxLf{m&P^-CbruQqHokY=$Wa zn!DAOz8Fi@_RjR4tt4OoX?mIe>cJuG!4d4i@&Ct!dT{!BaEN=39m`gM)`CAH44mmF znkF!o5)X)pYRT*N)~FxdWqNZG9jl(!Xgr%M{q6A%i~~^JDWYgS>%82nRu?N<+hjOu zQgc#!=H$y<*QhtXjdSp{=A52AIDYxTn00x&-5P5y9gjN9jwbYu1lMC+FU z-n{ERO}oktL+nPXXS7+CZ>ic|2EM4(>z6FgWKLNj2bhA+1f8qW0rpb0NP`(2;3$(^}lCczWx8<_B z_z(-JHMij!Xx#*;DD6)361vrq8mK>|ROn~eoks#mh@fj^Lr_D-pWiiPq)UFO!xwaAgO>$mD#7kDA)YDz7M0?w&`UF4a(pAyAiA(wNIkF|&G6 zS1XVRJP;28YF1VDkfYvx zhW42XGG3Vq1y7B~utZF}JgqCo2l6;}9I_Zq%AC3=jlrv;FfGFd+cMSHE2BIu#ipVp zt;GVnI&I1;qXv`S3%f4ubzOk6MClN?Cv7GnPG77>Iq+24zSzN;V+$N(QSZ^GnXv6? zjCXg}w6lGYa;`WBxrbpDesO=qi{0w9uhWbeUO4@-&u;3uvV4nlzZi(1 zRlW_v$7-;V&hFO3Pa7vI03DJGC&n(C z*N;E2izKtC1a0wVk6D)5w%Ab;SIvb=VMw4=7DAhO+i7gZqFo=vU5D5pxbwb4E1T@J zIi;)__e%4UHHrR(M#+|HReIf$IrD3)N`6c1lZYRAF~VvTFa$@%fi?PEPqK?xA0o`kYv{9Id8v}qK z5>ZqnESl((8&vxFG{zi(Xa;`vBdnReaF@I9rZTD-cByyrfK6HQfXj}KWtj21;Kw2> z493QBcu77OvKhvZz;OqZYE=DAWTlYw8(yAU3`A)tO~Dg7X_cV;#Tfr{4f2xqJ|bTY zjh|z@x8d2-^5GTKxo8^f8LVop4m6}$L%uId<0CeEQ5CAxM8|2lCYCp;B)xU9Z@>CxM#4C_lf4kaX;_o+k zW7vhm4P*f8$R&Xtc7n!7Dk*H13hFw;`PG!^)6N{xtnJROizs_X+OptG+^->37G zey&cHTb-5@NyS9=c_wR4hPD7&3^&x^(UWs>%i>o@EPNHkbm|Fcru=8E0Y`QgA)(&y zyoj|N7?}w9>uAjJ_COyV5N(-eRF&st#t=Wb*$)jNm>d@pv~2&F+cMP3VfC@Gq?%-b zKdoOCwtrYA{481EU4;xUQD1qinBRI)>qWV}KGO7z0huCA4-D2z`B~74mo;}Fm_zC5 zN6rx+P}Ux7`n`6SOJBeDm?k|QJ7(*^S3IvXmrls8)CK0w6r`rzB+ZQ|QrN4PYzGuI z469qy4U0a=G=DhrelZO*Hn&HhdmSEZ5SRCQ7teEBd}cn*$K$EfjK=h3Q8}xBnaMps zT}m|MVzyO?KK46NP4YTX6f|^vJwmPXqE*UEU(gMD8mif(nWuDb@%a;B*ppJOm~wpb zK2Hra$x<+oUa=;8jms5U=u~fHUh92wZPrGE3~oU;ukUkP^F)blvi4jcvn{FG z(hY!vBwq-B?K#oRFF(D|@AKcUHQd~u;8i&SN{H*)UaC#s__?TWW z=z3WkHv;NY5oB-emviHR4TW|_o$fye{-XkUI<1}F=7GO zfBHpav2<32`@OR2{kKG3F8=~mrfeoc=esWMcW{!VNc6|3yhJid^H%1_sh+2ZV52H& zFRBZ}Ew~$w^S889$ka8A7KGMSfecCWE=CCrkLne_gg^wrapa$Zt)uD9Z=Cngy2_2| zWx$i#x483_*Mo06+5F7>nY5zCX&5-EB=3haA!;eJ2r&Hn5pwJtGl8Gksx(fULhh2Y zY~V8V&CH9C?6#-;bWUF@p6MVQlDs`>ofpDswHt%7l!?8@JpuI@VXb{;A~$*6Ghyy5 zB)S%V-nM;vtWcd<_OjtmPXz&Wil9>ei`S5ozOH*ph7MOxZo%}>V6qha^qL=!i+z2} zTJukiE%#}4Y3?C^YBN^Ufn5$ZyzzvF25=e~(W{7RSs^yG$o8W9?&TMgRz@ zS6(6d(7ukxGETxYj!(DyMVokRSqbmIEsU2>pI0piHeu*iOY!g1IG$zH$9BBx6fQtV zJpw(LaK3#7#+fj&qFjRVhTPA4#L-*?i2|e6 z>S4RC)q~DBOWRzpCr-9;w!Aw$KhJE@>J*qj-?E#J0li9#=mpiZBHEh4jkPc(6)p}r zs0Xd)J1+w9>`&Q@Y4Ro0GQ3$j@0~J)Jnx>}x2FKVcbg!pTns?Fj_yluoBDK$tSXR@ z+dU8PyaW~&NzxWyl$V!m>0L4#QGfub=JfW=yQ%@tYUfh*OX#a)bTv|1f0=;*y#zMB zu@-E3fHf9p2SOb8E{20~^Tp{UbxCR70&Uk>M+#ZpCZp^eXlYN`Yl#szQ+ApOR;-*^(Ze5*vl^s)W|O_f`Hq+urXy( zp}EGR6~VD#qYup46Dv`_<3^8|t_hq)xXDn0C?FM~tPuvh2_d#$kpqY*}Cf+@jiPY(8eH7J{X`)3F0w4()NJ9ce%O)5YH-nXw?r%cq5do~_hVkQdPZYHWF zGYWfgrimWCl>wD445Shi*CYIJ8X@%H6DjoInknSLDNi(_LoiZEFmg;V!g7?9)ri|B z;nDd4HUUy9oJ>f9tUIonn6xA-VQxz5$fDSFEuGtl>#bn)^id+Ac+r3lT3KXfH-G~$ z^((R+TL+m_ly=9$O1!&liXlevBU6tjHfoGyGeQ4zO89pq!s(eCt?47S15C~CXFkIQ zWb99#TK{1MTBknwQ06&EnSC; z?{1*1TyR^s70cDFR-t9Q|Ed6l18?#qX-n6_7N5L}ytcr|T20sgbN}r0=)eARti&HN zt?i;nk~3nO9m7?#>ruJx8Egs14C|g;H^$`PO*-QaL8Epd#@_E~>N|=J6I=^XqNMAodTU_c}oZ`iN00txnT= z5z(!0bQZ@a)@xdVq`jZ@j9Uqt6^)tI@;n_RElKDs##?+^N0OUnKtU8xtSm6lO35SQ zd&A)^aLAs_CaXAzeOQyNVCfC5$?>3AVXetO70?`O#9>f)hH?9pOmVkHye7{+mx+t}BwbxH#TAv2>((q?rwd{xP@UAQyX5;L|Na3>6#1 zLvUvQub$Q^AwT?hLoQJ1YS^)8F4Rwf>>bwhGw5ftAU6=i-K$IFX!qV+6FemGX|g*7 zw_XJ2(P<8qwP8%bDvfSTpTk0?BiJhTS(Lr}#VXDZIlmN!%^WRy9^a2qH`c|s@E$GE zlo!P5*Wku+`-z{{cwNwMtoe8O>eX#&sJ0P~PGle=`<-Z>gtoq5f5n~8nlWZkO4}(y zOh5LuIa9^WTYZ|JF1u^KkELEEb>bEf{O#m({$u;0J8)f zqyH1p1$3P*;{#$hm=CxZ_Vs8hw3n0`5(`YoMTccVBU9jOQ{q*@Z}T-rky}F~*_2$2 zo8*Wlblul0G#f%RZs1JHxLlIkx70$7N{?8z9b0aDgcSsjQBgiN_mI{!R5M#Sg1RPP@7| zA^h2bp?sVX;BFO;!PoxQ5E8f_3Lp@3o;X()Qz<<$_j9wJkcnb0a94siqMr5OX@?ge&3+NVfn4SG0)jkf$n{_w%yx4g_A zuL|&~B0BJ1Xc)FSUVqWN0GDoz`Nuv`_mnw=a0JbPL{&@4Ipds3t7pjQ7G)P+&7s{N zQ-hybOH* zH!;u6e!$z1$L{)HB>3?cMdNb%290cqN19Tr9O=;upuQa_=eD#L^{qg6c%eB!sV-YG zfgYlN7^}kJ-C+j|3XnQ2TS6j+`3DTXkvT2r{i~WPPx?6yia?Yhh&~p}u4Kq)f&wZI z%H$G;1S*claGM|l~61q2UWcu8b3-T*7mxxI`Hhy|aB z0bb)+_wleV1bbj3sU=6mxLGL16PT4_qa$3*vRJCyAjIEhK(xe_Jan}Plcch3xXE|7W65;*3kyv~f z5m)v=uqpxz={W{Zv>|RPb)4aPLHo=R(;L5*lQZt3as7t6yAM#JL2^4Gp|wPqRth~O z%jZ=cCxAYinvWrUpeTZx4=Q7TLIDkfsOSF25tC}Wt{is&JQ5{kyzw-wSvmj$dp}z! zl>7hTBD+2xJ*t=1D`X6${y=LbEUjN)4)Oh#Vxru$-Qa;zCR$gH3QH* zm3@L*i=OA?Ruc@K@ZH>T)Jrn!!wAZ?DeY0Xg?hBxMi6e*xA<0FKetDjLp~3nVZf+r z5y=^o!k+z*Kxtp~U8edJ7Q^kNa1cJok*IT|j4r%0J2f*PnoiAknNg{B$QDFZb|q%4 zYCb+o`3+umh%1J2{D?)_V_1lo8L^B@9w7y9AI0t=0Kf+Wh=n#r)P+V8u~Rknc|yZr zQq`iDGd5=lk^fF@$0cVR!yLjslFT~el$PTe%B^W@EcHoW@*^+2!MNBJ54-`3_%;~7 ziOqr$U!tE!PQG>-9}2N+_J?to)W>{D;|clgyua9=C#kLzPR|)*7B`TZHNqV4E7!>d z-`be&;*4cy&b+!FDbzVmOh@G5o)iU6;HoqL8bcr>eIL5<}P& zh10Sf#DAySpX(of309Ax5d_L@2TY(5oXZ(g_M^FjKg6&hGr2~0|KIUFoZkAbi1@q;NO47;!PW1Md3WxIK$3Nd)OP8r#? zWDdC%<{1L=GlMViT8E^|oY27a3#3w?nq~}u>05p$t#B^!CERzaZW|&fT_WaXn}~h^ ziaqZJNASq84!F?`m&9YvRrjdEG~uhl(yJ;DW%uBy?lxrTNu4gL?=MdK?WtCR?cu~4 z0*$Bo4{@sIe)Q^VBpqQDVr&tEcW-fx$D+-}s`e1@Ic3qhN-;eU;Bq<$x&-yjg{lsvqDL?n94#*S{tV`Qf@ z@Xwr@kTVV5L>3iaW_Z5{InN3#Uq#n=1Gm>`#4Fg%VUBsP6 zOVtN+5l!`hq4ulpXVvA@^Z}7F7)07gQ(ml3&d=SqL;x;#xT-y!94>+{c(J<>2f_K1 z+_vKT*)1f1X`O@)9m0B4BShYhrS&`MF2Mr>t^^Wzep+6Pt&+w~vX0w#RCcd&+suP# z1lDrKZ3Aen8in;Cs6iWW%*!=j|4o!QCYNHO(YBTj>mv<(i+pjebS|~XTj=nZDtg2k zjHg7Z|w$%ZMzx0{i-bUix>g4kk?@eY|ErdoSr#ZbeW53Ij&e6 zQ+^mFXg-9W;86G{VBo`&*$Vf@6(gv;oa?@>$_baJ#Z2wjDLtS(F?k8z~vI&XO-wn+vgV#f$28j zYn+|QlKaES)f*lXsjo6(en?(#2v6mYo-|9;loli2Xoi|t zk{9oAt|=t-fMUh=%jU=YtgTT^KnzqX&a$;p-Krm%V`+RTo@?2&i~&t+N?K0$DX0W% zy6pMip|=!UtqR*S9v!z0FxhjCwS5sBDbKCNnn#5S^0F~jQWSwdK8h6P4`L}l(1B_} zMXZs`cOSrAf^~G6hjP{jt|DZx6j`RWpt6KWi-pO3T*!ng&lUYtDd{hCdx%uN$X=wg z1aG6yTJAQLzsMf+Z^xG>Z;Nm%#kH+=owW$m>$cY$;(}D3>Mhi={;3i~phSQF-KK-J z5;UEk${VC}4i9$9|I;~U#?Xlq;%8|+$6DhtrQ7Z-jj1}Bw9lRw3m(r377?I(~E%7>t^e7Q7pW1nj9LCa0M;Bz{<&iDUL@@zpTc7>nQ<(oe! z|1ZwoGAxc}TNuUN-2x1*!CiuTaEIV-!7aEG+}+(JxVyUsO>htHklZHk+4t^q_WACQ z?|GJ2byd%Fcg=LIwW?y4N+qoq3OJ5u32rT-JR2kgv{ueRSbK$Je zlC=f=JAr{f53gS%n2Kls%j_|HfuRWbSU~Ye79;TzT zIx@4W`~oh^<$BnWaJ;9Pu)t7!gIJPMB@E_vOsb;FKoBoE)dDdKBMilR@}&g}zp`+t zBga&8`oOZW$|y-Wj;U4m0af!VK!l$L#Kdk$&TOHgA1gyu?P6VBqW=bg3d^n|xtzwt z5F^XY7I#)kG1?0SIJc$Z0&k*i43yO~m0S#D-;Fy+E{48OvAJ;!GE59!P2N8nTB(`V ztAP~5G%#qB^p?F;lJQt6;|rF^bjZ16E=nOG7scnySbpFdCK1M)ON8#yQ&Z?ura`EN z?t(9ZJcM+@IMF5p7!^BTj1-x=@fOCJU~aD>g#S(bmX{3Nle4iECrJe-L9(654Y^(N z1F4wP;6Jz@R(rp|o}1}f(b;TRR>7!RbL47QL|Rp`mNt&%F3|;QS0Menl6MQYpl$o9 zswr9>v)Z&DMT9Fzc*6w7QkW5V1TgT{=_g^%n*vIk0{pBZ;7jlD!54p1 z=6bf5IW%PuxV6)=(N&t^zSppT$C?*`7=(%!Vp*h6l#>Be4TwRp6d@K$Dl|^LnoYPw z2Pp`p7!#s+p#^gMPztmv@!R}p=FSIlBo1Y!5Qs2dJM~f|GQ+V_kbfy3tCbWfMqDHT zMwll>K?qbnu~Mm7ZwHH16aUOC>|rw@tiGwQUiCY*ZF)i5z&6TnhVWwf@hi1ZWS8SM znh<4k95*pY ziFPZsfB#nQ6av3Kv8JMY)p}9zYWmI0-wButc*vtKj-GBG9yTp=mwU7!36X7Ne-dy`6i}Cx zbPRvGU*@x$?@H4pBXYVG2P%=&)r;4(+E~lSkL=B1)|4daN&}5nB$MQCO_`wrO-VY1 zv@G3~u1Y2Zy?vxIVcm+m*&xztt{-iO645oEORiCQr>-x(vWmk`JaWIWAMCgbdY^26 zG|k)b&Q0KJmE$MG7$Z7wc4*Q6PovmScs_$9+AgL6tUe_`i&i*xgb0Izuzqrafbf7u+vxo2b-((ChsEglQTCw`U}hw-Ihs z(YXJ%CPEeb!q*|UxZdp&7Bz-F9VRQJ^y}ghw`NOp>H1EV^G4k#{j7UVtApG95 z=X>qQ96C=~qhV~Maelx%Z!^e@R_#655%|5rfSVS{f}3X*y0rtm66rTTwzx}od|>kJ z>`H;aR;`Vkvyy2W>VmDWs{fcYY1tTGgsG1=eLzQ+}~sRn!mCT9PZvt1*6Ktl1L(8d`6Al z+X;)9xla&6Z;?tZAGKN0(YY-Zom) zgY*dxnFg1h9*PB&K>B@tyvz=yDcZ}R!mYE(!-96{H^t9+jef;lSG`v>&6fDy9mxV+@|WZKhD`56tb#g-nVKypUkdq< z*O`fKeX)m+jI}&3jy%0Hv)M&c==WHJj24Mm>a1c?H5(=6Dp#dnOSXQ~Wbmwv-(FaE zC?p2MBH~itu)W~@+Ie-SCTDEtux~b6LhNZs7q$=F!s&k(@&&1erK1;c%JCuX=qbyC z^|quWf`sg&B{7I3r!@s)iWU8${AQ3T zbiRRT&jH=|25gSTh+X?P>>$iEEuF&PnBFc}w-{kq6WST+s;D#aEV5WL+J-O|A<^V4 z+z`yNL7;VQ?<^;HfOw^RJ30(nFCRFWJGBthIn*h((7OabxCwX*4$@?Ns4!C)@V27e zOA27U7Cm_gKwNnfH|5^M-oI8^h!uCdu=yF8VnjDbR_-%xm#VoKz9gUZvYQ?GB1tzo zfi{(+*({luUuw^H<=$TwyE?6Y=rw+>S#8!hTdTM`1I*eP^i`8dOZzg`PDPEaeQ_UI zNZ|1#IS0Kc42V{+u9e_%ujBk2OjIT$A%-q>-U{#QQ)8eazi7Q#ItvBBfv;)~1w6ok z$+G+H+BJOfGgy~hl?4;!o|dCbH>U!jhwZ18iCs^q=6jgYDV^%tf2mXcnkL<&NsFv5 z6PTntAqO!eL))hfZd?@GFUkDN6nzN+=mpLi8t6q1VQaa)Py^m%8q@zYgDkdF1FGIP z!|&jd_a)E&&rFXv#&!h;w-XJ)Y zb3Rou%#tmN6%e_KC)sbwPXBkA9n{QsF}%N)AGew*;JU$D7o{l=!NYTkz^w?;{K4fVaBFtj!xMYbm47eAS0>=Oa zg>(ZAD^iim!@zNzze7{@|KZ;tFzS8}4_Gr%>3w0jT#blc)^qN-%in3leOJ?2$&sre zz~s4joe!`m7`d1u-4YS!7!OD(P%-gNsQbAzNMdaW^|C2NB(jP6gX(Ez_lF;ICSQ0- zvZvW>>0&~!BDIxF-UIi8y)NBFz3NY9g_-&u{xcYE#udiz^WFbx$O);w!V9b4d>HcB< zC_=|0`sbxQlOEq65ANTfDRgF^V%tOOwQwg8^t|TwMJAmwnKlFF@Ij9xvhmEG)1!tN z+r1CUny?d^HuKdfDwJo4~|`R-g60NBq11F~RAbYfq9^)aCJK zN+x>K*5T)cpUP|jd@=Wd{KjQRSBF_YQKr|nVy^H$_GVv$a1Uk=+${!=p3)TZ7`|l8 zJI3ue#-(^1NsCh@>@N>m(l8mD(=fRL7!F`2fHmf&bu;DsX9;dJpAVX!8w4|xEjxZ4 zcBz)XDwplc7O5AlI?+B^6QRr>-`=U03#4#=lwH{2mewaxM83HUMA3|z9)9#PnwN2!{sY=V~|d- z`MF7sao)9l!ZZIzwEsX7upA`aiEK6>BU)kF^+AHG&{fL+J0;dmd%{+sujYJ5T-5+&^085Cm83_-c*?bXVPxDJ!LSMQNfE(*7ZO=W-YbjPE%l$QKaf1AYvFFbulsFA8i| zXVP&4?2oUWnd1+QxqT-!UhjR~2OJ;!$&<%Pi0gFa7j@(-g|M3GQYxV}vN=oKKZrvu zUpqYgdG&hnX(b*$+1Yu@6p3VLHhR5%5f7dJDth4ji*K5~*hGt=c^NCBWZ>xZ+nq8` zTUH(sPHFZ{8(N2D_bRiyrvFF5`7LyqfN%rY0&aEbMug+DqhM(*N$ zZu3EFvQHZk1evY3=QnfZ!e)r9O-#OhBrK1d(NOzh;^6y<65#v0lHmL7(%}0+GT?S- zE#f~>{7WU-hqcgdOH=n)Q#(C|>X)ZAv){2Mo5w}&trWX6_q-X@ZCbH2i6CAn48Ecn zeHHCzp=6cBhIqe8j(cgjAx|H4NOM(#Y^P!ne|k37-AfexVQlbG>o=6`x9T|Ws8cHw z9rEjNIH9i$U&%^pYearo?KVl+S($tkIY|#%;()KR#?W4-XsDJht@;0j3hB~+0}K5M z*EgtYqI&TDc=4S6^PZ#k+&bXLB2;$;ypKl0Of^;Br;j4-=|Qd>@Ml&StBVv3719MQmEAV-c%aClwDtER=20RfVPH2-p>R$-F{dK}P%hD23fNv;|(Zl=2D`3np7O^Ko z0Y(rNVAM936>3gYKYcSdcE6b;Nc~qyPpo!IE20XjIiuTFxsL&*DypD~GkSHE+sazR z!aBRSN;+(_V&(tA&2{g`F?rXg9qE{H=do0!8}34(&Gy^rWm5~iC(PseZWE+ZsAfV zY2z>GG8ZN(0bZFosM&yp0?}9wczkNQk@TR*P;B5L4)r6{Q-O92W#`;Ag_kHy_$x`R zF|4dHSc%o&YNFBuHG7ITi|R70acf&$!T(`^mXax+3HpNa(`Xu8_msykLIBo&bfp)6 zjAvk79kFVxfDNkig<$$%jq$Ufbcf4DCS`mJ_ixs2+F;kgYt(dE38FpP&C2Z#2g`W8 zp)9WVAM5*D4nO-x{KomqRxF459b#YJZx}nDoTENLw?aFW*MYfQ_;jFd2Yjs?Q?DqmR9Dlg0t$}88%^##jj&B@jW|G^q!n&U z#U_|@i1nP58L@h?jkGI4HvyF&N2v;#q~Fb@WTG6&%7@-imWV#Z&x5>2k7B!a+Yn0T zIr6Wu?bPJsFz;JFNZuWK92?$Tb^O4aRyj*+G7Wu5Gj=F-G1`praEuk>H6o)r2v3bb zq`Z1@<-2%hvp|mjh6+8Ziq4sJbf(|0gqy?r>cIEsmYakY2JIcs)Oqaur<~OO@htPD zm;FGc&hKujOn2`VGsq=w_rsJrd5ly)N8|n9stcc^Nl6bX$YR;F(MNb{;C3rDp&U0E zAb7sv_-D?36`Jx87m`-)nsZmJVJBXskCMEV*-`5J54BK(U>ulgd6YQ^3csk<+yHeu zfx7?qs!x%dPp6Q3!n?Dedptd3_rNX2kSxhxApS>sxPwVo@MgSU%#mMKw)L7?Qm#HR z;16{so*ShZKE6*zTGK1$VjOz(`}wdWnWuT{$*nC>w%i}}bZZYKb)r%eN;rSAMKLf* zdrlDgn7-NR(y`wxHE`mZ{f_(sZ;FYe=6aVx@i^^5>*`hk%EEm0FUY{W2S1Y=Ev3xO zqQ$R=YFMM*N%FI!#jga0g;6O=;C(5C*8hw_A10q;&!QA~Ei*$-vVff>&bJP;BmKJ8 z9!NthbQQ&l-vk+EcuF+_ECfT`4-?xqHN~xau!T`QNN{jdh$-rH7 zLIvvrpMb&vNy-k7VyA+O;Np|&jtbeLi)WV?KjeF5S?K>GUVT_#`P~u~BId{}M8<d$Qt;xhzCUA8*_cVl7Kmtf-F~O^)MMnMd|H(kO{&a z1sSzWflL6@1yBz_gBEoVnTv>S1zOwyX|)=hYyd-z^xU>~aBU&ILzRf;T+`cp-52!b za__4M`GTkX`O>aK_HriPs26eSx9P4O31EV2v}P&txPqhL$!fB+OPj6ye^tqS8zmmU zPLWm#69iU{eOm12p`fAR`}5l?gWpEKWH7m^XpMJc;po&tk~j91U!O6aFERFXK+>~O z+bhrwypy;D%{g|JE^`yy&4w z!t;D}kB8-R^LFqLBuR9Vf`xIWjj*ONS8OkezW~HC+&Za!M9({jd#4#)PQlRM zl&eDQ08JPDgE5)s8@><5l>eww->0oTt*vdth`zLhVOW47v+{(Us3z1{2~lo} zt;ks6XQc(LI!@=ytUG44H)<7ZifzbP0cWjM6omy1~@kz=_RZM^m!jzSJ^pC>tTn$OzNefcNi;$WCJeMES6~(Cv4GQeqwOMPvG0PaBu1@5dc= zi(7Trh5}7wHi`a2>iUE_Vr16REluEe6sy_+Uxah*NCQ{3@g|m(U*uVIWWmj>X?tuK zp;N1h!0y2kNlxVrekp}Cmp&Y=)Is1--}{QCkh!WhD?m~q5biz6eU zjD;VZO}Z1y>qzVMWmYwT*5|lz#02uiqM?;yXo`%57swx5pMjb9(diAKZz-Q&g1}$I zE2n#SF@gA;b_LSoxR-TrV1|G9;1_0?XW<7o)MGhP0?GO*s6O6V?)YqOe+wdMg-oGc z{6cDZp!Utc+mV2|RB{dzXt9(#M<@aBY;jD>O)bME9C%spyVV_&)e|@gabbqItsKwI zcEMj@AqeD^FjgG4Ksv^Y5`I^}66X97-T|^{1dN8mPS^x&j)xiz-_LmpUJQmb%LyV* zTK0fQ_$U)NBMLxOiYat@>-()-AbyJHt#9CfTPfZH;FC!oWCHGjf2%ygbMSG5+ZrbPu0Z^(5ocbiQ_cBu%S1Vfv0QOQ>JTt0Wjq4xr+G;F}bAfxAGp5S;rpSOoyq5 zpALaJCI{m5%8j=VQFDLsFiC&p#s_~#5_*nvcIWBRJ-Yw#LY}1Z18T|j#^@eq2!4A;q?^2zfwX^Ap=SnL7LlV}8OD6KvkwT@l*d9Bl*)57qMEBPt=#Jkv z5PH6zd3eJH9xFL4hCk6UVTk|5W>68pIV9@xfp<89nHZQGQIv%+Vcc}`REUW>dGPA7 ztUtY(Qbs1m|2FF-2E}}Xoauau)YrGp#xNn!|36r_z@{(pq4BO|-oVTQ%la>1y$H`) z&+yP@|18NT(3faT;`1M<%W=h!J{g|TSqMhASicW1r#|=;GSI)KsxBqdqDLnVl)rdW zy!!Il5NjJwMez=igmaU;EpC^B-;>XxDehRdFbf;!3bXhT=NyHjDv?f z9dtr(Bd>7hZ&Sq@U;Kp=eVE&)lz*w~6`ulU9*7!~Eu!DcvPOrqO`9}9e~ z`X*U-dq>mc9z)i*stt@P=v9;`O~Z{JNgz6g@^+MY(wS8S)2wM2^J{^bBz#3(^$50H z?M!RQOlyTqYlbN}YX=F<2&X8RAH|#xVPb(yTb@$3rOlofEN_D`Ybh*AARzxwrf<;=9U$dtPZL>^R8{=-anG{=EJ$3riTYl2;N{s&XUJ-~k@zIAm^F>i_#Mp?VqBLQiR zd_!;ucxODX7)~q7HxsXcTNCemS)jcPMl{rF9eX z-wGVMaz>6n59@CnTu7rXD01fDkIA+`ogvq=N$KrVQZQX(#HV<`kB)Z)?V9R|2vzVQ z?#;4_@`SUA@+<-fVi)DHWfxV3dOf&R`5GQOMCw67CFU9vo5}q%^z3Y&_nKW~cOEWI zfm{qRA*UFvDzQRoeUawg3hAee1X+-)sq9>AQ*%Y*SjFP`;_4g3>UwMe`7o9Q=51GpV~Y(8_-(%Q>rKaB<#LV%qqiIY3gE2y0*!DNI5q ztBORkG!2ppcS0;0z@JN>o2C{M%t3qf64||mpC#a$HkYzq*W?k^-WeLhpCjN>pNd^- zsdEfzz@1m0GBZRw8*E6R60^!gV{{Mzq2*IfVam=P+6Cri!exP!1ACXi*1&8KnfIof za%`3elm){`_X6RRKaong_>*ox52@nfseCe!bMa@Hhh9nuk;BzgAz=N)tW7G_{&GuA z7!jgM52sv3D&=7p@Q)N064#qZimwu2Vgt)eITF~1UGiYLDnLhunZX# zZ8%yXg-C2X+?!$<&Rpy{m|CY-VLl{R6Zv*Dt0&dS&DdcY={)^kV?g!S;}*!dOIC^k zv=9@lzSvVUjOq{*t^^AXiZ9tgRe7DMw`HQN2wU$7#3VpU!U%CnQmQc`CRiA^MX_1J zh2HjvjRUasm;RJ`s@T*T!6PsOE9#(naD!@7DNa97nPW)d7=ApRg1m^R^4_Spg;Y5| z4>CxxbHSjUIZVA(&k8MHtyHCAB<&Z3Muqpp_q&SS?VE4UmHoKZz+0F2sO~lL-Uw7AeFqYN^4Kn1TnS<(`SOtmSL*w zLmK*S<@(eOW7R8{(y3fm4Ul_gI{(cb!{;Zx!C`yY6;k*MFTTxK>NpxyMiWw)j~D;d z$bxBYEK2dpRBkkdg;IYg(F1j+*Sgm*|~#OMuE zHLM-Kg+q|&G+secx1pr-?k7(8DpUihte~Mpc$Q@I(eK)x0U}p6v1qF8tgJ(caV*6H z3eRpbA{|BMpvReHLb7%&W>pl`5>?4qWklg}SOeq4*A#~Aq))IEupqK0W+_B?erypb=;&kh0Q0%mujJ zctZ-0@#0_2rOJV8#~b1}E}eJ^Ebn6)k4B3b-;~HG83GemPYL`@HI)rZWCSTU1sw|K z0x~gq615>WwJocL4vP_N1hm@QMf(`}ly)2?Dg8kf;U{~{Ank24 zln9t=5JwdyCReIs*60Xwv939*Q(&5lm|{rpLc>sMIuJ!YPXKqYtvMH)zO-#I7yH!* zUYYHkuvIa+)TA>MK4a?7fDEe!18Ux(NSr=)L*{~DW+l7{3y>T(Ou~i~!b0q+uX0Yv zu0{Uw?Ru^xCdkunrXUP zQV5YC4zc;Aw%)`MBUe39E1{zG_TB|Q*cCS)I=|hlb?7|^2&!N#=0$^Y6b^aMH2aF1 zNpO{X*$hY+Q4yu7c;(qKc^*oIsesfe@7htyUb*!FhiFqju`j1dhtneTzP zhdUe~GcPzv&LU7l#3pf!e`G0=`IgjbPC{sfbs?}J)PPwvhsC_gJ0wvb_#H|o-GeH# zottGVjHFKwtCp1xBjxZrl;B{8Q#Ss}X9-$7Yso1Bap0XDQ{qgKO*@iZLlw2^Drr;IY0W9Gy39;G!ZS8A?nsR7xMU>akM1v|9bhmKra#uE6$D>6!}lbJ5YRyR(ahGyR95X1eZ? z)T=TtralvX!fzL*ww6UYn`ueTgW&bUaic6IrbFDey%>H2slD zDeA<_Q*DU^hz_^9LYZzbixm#g$qPa$9jCBKWqCxmd7!=3T-Cr&hel%x@fS(v5lvMf z>}a$?9rQ|h(Kd8L3M(lO-GBzP$r+noqXAytkOfTtd8j;6VcXVw$kPach~NgZsCum{ z9xCagL`dn;_i8d8RagoY%C13ls3Z4j5Agg-2hVVp$oa!$3sLE|T3%!AtjY8dj<8|y zJpB(WsYgw%Tm#o*TK=L1rw}v7i9Cj8* z!qM@yWyO`8sR6AjeAdzLu=6LCN<86}SPVP-ENP!-e=#KBZt(eccZ2Bl|i0#^d)?n!xgUXh*|51XRDM?Z;p2 zi0V)3LeJ0~KD~I!AIr6HK7Fh7Gt+9Dtar{ZJ>ndnvrR5qV~}nBlx)||u;HrJrhoDB z>R9p&>?}Wya7fKDWQxn+$g7XqUD`Vyyjp{0)un8qEao*Dr+-Lqn$DDH*{xXoERyyc z(R4|l=G&u4+8OTHX_uneA81oWzdGGRdMnEAZvZQn=rV7ed7=;I)TafO(9YY?K=2md zX1_~8^e)?RIbpFulSRQ0(sLl_N)A~Y0R$N#^;RM=$UR!ZKY|9 zQ?03bsLM{odjssb?eWfK=ln!sX8B`j&6yGm$eL`~Knrd&JVo8}@$CVWLQU~Pml-z}%) zuFzoYP3yISpL(w@%P^Lx9)w7VV=8kpAB>Tu_Iv!+=f(Jaoi^PJ1GYs9g7ZzXv)a#P zW60Sm@gog0G}}Y*5rm>0Ej&A$cfeG6sfP%zBxP(mt6vd>kX1d{OeRC^cd5_5R&dWM zg!g6$fpiSh>+i>vqKB1l)D3yY999@RH`k0Gu}_It7Dk#RTsi7%;!X%!%i%K@O;rHGS972EhmK1`($D;<2;e83vbFwCef2Gpy=~N1-*V#>p)ORMA3haFlv0 zXl}U*Y)vL?12;YTS_-!J*HjxUqy-)^~iTMx(p| zg(zOGW0@y)YXga^^cKVs&vFQoN~z5vcbEYlW>DsXQ{ z4qvp%_-BOX3i~yL<}&*egk_DE>b^$o>N0x{aixj<1dea{nv>Ml#d0T7IhFXZRV3c^ zOA6XtPbRi#GoG{5))?h&@Y>{0K$8ueH>2r67Oi{nfK#_&;rdNUI|5v#vlz{eLK&_q zR_vc|ADmxr?qy$ZxX$tyJf<2|-KQ1-yafHF2S8xe&x_PBglEZ^+%%8ZF4G9%ioOmA4UOA z9Ur>R?p|NgW{#e&U#|pTI4*|2elim9T~pOQp?)MS32 zem~zPx5KK3sELBI)96?9lNk_Ug!o?~cq%W^VV&q5s#d^KuHTa29~W+-wBU+ zQ|g7Myb?QAN4Cm9G(50T=koRD$>Zw3fS1WF3{GF}{5tekLw=xY(W=omkl#*O9OxD!G0=jLnp2L`&IkCjOW-%^DHBj9)c zlUb`>YgHV6i##03NXe&1AkzOa)z7R)GCX|y{AkaMI=J7dLi(A77y4=(y|m{g$un>d zm)?ZoT#z@32`6?462Vy(dbJ2*QrUk|1RP->c=#KHz)d=P%mmT05!ZhuOn3j`M(wt9 zn#s}9{*a@Cxb{ z4>?0A`Z4|2(mZ}w)glw zHy?De1a5FYFys_eaOdt~SriV03~xCTG{H@L)(9sZ9T9r`NXM4=gMv-;(OZ-)QQwbM zG~!BY4%lKcnpGoRKaW-PF_@VRiZ|njdIDdDK1M>_%UwofV_#TFNcPl+_a(&nb3ZY^ zTK~*U5xz9}amAP9HP%W`Wyp^pNhh}@Md=mOQ?hR6?m(zw$JWsjMca&D&3*OH42cA}i-D57bV%TZC}VUkjd2%)1qavJJ3umb%3*X;Y@2BS9KT9!PfJEG^Jm?`ny6 z8ha9i-N$Q&-5D=Tgplln-5nZ-f8wA8>ikvO8O-?c2X=$|--^)^c>KcgNkeSp*?pb|!wV^j2!;@v zqGQ>1PKeZ}9OtasncX$q_-qRV2k8KO|z)$t(`e+14y!8MJ1Yl?Z&aFW; z^iuohs2^aupwo-#Wej_i4($!3@hX{W9mQ0bsN_W=)_uuE*yHFJG5}X|g)J}zjd2aP zpdT@7?j8tqYJr?H?DFUb=2mL#1s-MOZa%;pDt{qNR4POqpqpk&1 zO=Z{gZHHBG0xxu&V^ng&8?-uM^;ezYDYX*pzI(t%J68%d;{eh1)VEReR5#j zQi|r?E*~t&G#j`@FP8dc+GS5(YHiisEp_c)4m8;65CB=^iF%-lMa`{FROiIeErRqaCZ zt_-C`ZN02cRQPgM+p*5vJXM!8qy<8E?4N>7@tnkgY|e>iXlw+H5v(Q0lVKolna|{H zpbwSfnY<{WDfZL1^Y6C(?{MbVeJ1qG%lz5dVADdl6({jT$d_+M-{n2XAh-M7A(QQz zWhLxuQ9@|Xx+TSohgWER6=sap08jbO$=dVUvwNGu9?K?gi-y7`Gjewd{C>z+WmwYp zAAX_1k}=SB^P%G)5nK|i@&P$VTqs>G7g>RPom4p*7QTH)=O=r2lDw4~hS!;?-|u&v z+&glnxt#P(=ITgVgVDYNsgtqtXzCu1N^uv`gvfE$n2HxdFswx*p9rLq5O`v$!$e9X z;x(i1*SObfoKKm4uXvqAOukn;HSXvpvMb3+nSaQVms;;~ag;5isYH|+#f6S>1~bEG zT(a>W}oa>qVw0^U3k?9X#Wyu!XlJRd>s!vXI!Uxoi-dIH;JF-R5 zTl^@Y<56ffvk7bo#&P=;Q6tl=m&5BfXvOe~;qfXRF`i`3i_FMT9HgL35q_x;`5^fxLQE4dS~yI zKX~{9%KXdaV8EFM;yy0!_W&T@g-*6)P4*sdqTO@Z{&xbEw-YNVc58HC)uPXz1xcYTP2nm>zCbkb2SaKh^3JA& zeh<7~ZoJtZ9jN^`KkNmwnLbRFe9lrefB(Q6e6w6%_|-vibl4k@skwO+VZE>Ud2)#; zL!T*=ULy_?npfrL&DEvX2mX?^z8Ws)2~1h+P*vktGRmZ{8^(-d>*TLKKEn#MA^nCe z9h;#!q5eK>bK}g#3_#it)A040y^r9dTW^GEiNk{f%DdO4Q8dISNR;ng`&B{zJEv5={H&c`9Zed2K7E(n{1Zis<@L2K(n zHyevoe`NzLhvIW-1#O9$@3Kos?tY!UXvx;w^vR4-9*ubv`|%0p95YV-CW4ak^}_h& z8^h9Z(0hiMC(R>g)w3R5$(|nQRsY)e5R0s z0vsRb$KdP1woAg!OSP6a$Z5P&Yxx&wc2o=~b|D<$-oG_Vr4@5kG@IcavDE+_H@b8h zD3`jzl*at6hSl&br4;;mof8aFX%6Pb81ktO{Pnw^kB!g=&Rmik6?h;@ct(MYy$RNK zC9vsKSm!R6@ykrIfWxRG2sn7@4ToS&Sn0JT6C^yEiPj!&5-^b3Q zhV=#Tr9wC^4-8Zi!ln$zpK9rbh;dLVM@(7<9gF@rsY_ z2|;5Dt;2zbFe072>~tcL@L0%YGpvP*V;AHS$p~@&A0z3p$sjZA*_Fw7y|GY4tbk`Y z(4));rx(b$cA7S$x%Ulm4B{7Mjh6q=mq4ieuYlr_P+Ru-)=2#f-IJsV8FTBQE&DIT z(Kr3Am&^So)(Gijn%CCWgBkid^j-Qt+o8KY{mg*Ylh2M{{xAdtEe@0PKTh{wqSh+$ zIUI$0a$P!!jdG?&cJn88tI3g92U;L19ex_Y#MX{3eeuwP+MB*6OZAPNNG81ZWnU=J z;eyj8N88*4)r#lp%8Dx@^E?{Y(ERbBvC)-i8+Z3y6dGe$6}WuZfrX^Kx9oIy0{QDj zq1bGf52fBN#d=Cj;h(l6RrBVL z&IhS^b8i{}^7?dgtYxQw4f=E*;fU&I?avC91A)^p@zzLBx92C9j_r|W-`qpv3kmYY z#9irWyAVc%%8wi**+lpiLt(R^8Ft#3eal&J@69+0k7L?9idlnPz!Ll|dV1vSeyrF} zPiBFN3R;${L5pQA)-|Bm<86QPf*q7hZ684t{BJhLP*aXU4Lzp-O5VRb2Od|!b zus?s2It{g0;*H|qZg^)DUmiGlUS%s^SaC_Y(=z5&;G3l5N@R zh6jn-Q(~ZOCXTFE9oJc zvWvWw`?hU66aq-1BF=v&rW3Z!8Dt=n2TD~ofGfso5jTKKz{2aJfWCt}Mf*-xE1b(2 z(aG`OK;T3ob$)I@j?^FCX<_qm?#~b*! zzal-|Bs%#DHmlUmm&)C&7uy?;H?(i5ucUZ)d*p+K9hv%16;r3au*bS6?usKd!}g z`Tj4nRc#=_sZ?O`Hg@tn!nkGd9##Mo6gf}u1!Aw1JP1sbIbH>>SMCQ73?xlFrlcX5 zc=D73o={wo69tT-$q`WmIMxj#4O(vQXJK!GtPV&kEkvEAzT`%GB+l! z>VdWuy-kY-YgVtA#E%Cn-+!Eta5ER6a$eL3?Mnar*fAbgbRuC9v*oh z%*3L2db<85m=ji*w$p#Jt!#aU!s_Vkbp>04uz{@Q01}~G-WL1>n+VH%Z4HUU`JP}s za$|^`-3l|UyJlW}#Ciub&yN3aq#VWTD}+UzygEt#LET}RK3dt6C)JvT7md!P=BnL$uaLL30RXy1=U1{ zSXVNvMl`9Om5}inYhf2-Ed^?d*iEuWDrk_?s9o>EJKW=0k?5%d@jF8~5_%&y`>jR| zB+d=9OqdJqYeiU7|^YFN))Q6*i7^y&V5V5>xL+g)ggXc zfP2AKN=U$SC8FnZwbv@ku6`ZI;zpC^s^VS^KZwU+JH1D4+)Jh z{NJEDOXeM35vzHFA(x=tVs@t~J1Z&c%7d5UkcJ`8jIGm^CH6v&rEiUvfYtmStLHmza>R>2~^gE89p;cyBe5-tU7?it?O^y2sQNUVg;q462W3Lg)Fz>+f@6J`fvW;Tu%U#~1cPqsGfal|ud=T-eQThV z;rm*l8es@dz}wUKy{!G8m129yv{P5?49(j2gkcm7&>7dathP`z5Zzj|Tl&Q}{0& zY7^%oLun+%naWxQ7#d))_$WrW^ucjsL zLW^Xeg-U>vNXF`uh9Z|m0H+LyqjZphS2U3(QiZ^hPVblTBa_|k!N?tn=Wc+anfr-j z%{(CuC*g?rE#8AnK^9EhF%VOwzm>v|tkr=N7`*K=6(DHh3C$DbQbc9odq=KBmH$%h zztjl6S1J(H7-X<8&ddbDYowTvgOgx{cft&aV{{NgP&APxiif~j!GJ9S6K@(Yq!o%| zw9RF7FtKVQ5>bI7*For|1!@LgV7)aXv}hlJT8WONdi!VPOS7(4^3GTjy^Y8dIUD&0 z+OKMqVX;tgE^7A?ujhjsX32H@MpIi*P>FWfJ&=b7NVe6^-z?OF7F{#Gl->QmcmXg+;&{*DI`t#cE@1e z=&Os**|!ioR)=03qMAHO5TJJYuHrkNdYxOP(YfZ}X5H6L<6EoY<3(mj+F)fhCG=v& za&5XkEEzQ=z-d(3M%P!XHTHWS?M{1TjfgYgXRc;gKp;fme}HHhYzP`E5@?h48463J z!}K>iO_2LI5|$#|Wik&^ln0P*5J9?;kn)@3eeZquzI)&I{eJ7L`OoYb?#p``Y> zI;U%7Zsaq;)z3}qhg&fzxnbjMFVT$6&?<#0$tv$vV%Xo>{zzFFma=xYbm7U<=A=q@ zF4JB54}XM4osoW zz6d20uI*i;$+^~2q1mlIt&J>cG!l`*FH#}6?BlZ|$wg`nG;FC3`sDx?4qoZ zY=&$>ylEbljqLbY6|343n&$tYG1@QAB)RfFlAli^8Fz0#(BX`zL8~#SW*w!)cW?vS zUk9Y1=mqS^nk0cmm>pUnCdJa+P=@4Ud?iHkkNJ8w4HED23F0D5aUGiot&oguK1i{2 z%|v`%TY))b_97*Y#Ae%0L_4pnqW>u(@fk?WQ25O^O%eF@Z_f6c_nSZ_k=SwU;og!o zs1((-YQ2&@rD)@~<9N1jyZtbOYaQ6m?@cr;l)PxxS#huE*)@uuYo)CB5pV=oEV@-K z#jSbUGRUOh4ji>Z2_+dP5QfQ3h0I6tQgB0p$~6TD+K(jGJrbVBw! z&BlmXCnd?7Cj*}trMQUjGdz3;%^mr^m zArXi|G=CTJ3{(@5O~Rx7eE#~I%UR^`|BWE(sfIR)$oNbUWDIzN$oK}4G29$v^buXy z-*s&VUxZpzIs0?ukBl;ijFh~HE5;!*27!#KYRjAK^I`rh4=@x^MxWULw_Tg!Jq zM~LfY$wR~C`2M@&{%?O41nv{2{1fGr#VDclX#?re>l47W{Out?xF1M>Tz@Bu`OW?l z{h`>FgFq|i1b`qNra=vBE%-o<3kIjTL}jZUU2SIiWqMLg@hj^ zHgjG*kkaSB^|!lOcxXPrQ>Zst6n}s1+!^iL0=530WJ~JG0FAZN{WJE_#izL1d976j zrSd*z!n*ONJ+PXjJucs`jyiKy7Y17QJhJ$D9>c_sv0Z(TI?pD6dY zo_L^wJWCXyg)gl@3y;zfE%ZRu6h-~<*<|+JUx<>R>+<`-E8!Mn-2J3K!4Yk_HHAJ` zI z<|UVG~;MQnox6PL&rJ!iyc)QEE3%yO7-2H&)*D zPsHT09Z_>4K*}~cIVGPbuW!5($LQc2EsaP-W%HK5V34C{2#c?ePl|sZZ(~lrDVsuY za(;ll_nRD}I%4jxav+((QT+!x$*Tk2^YZlAe=V|);@P%MjOVd*9H1^gk+^h8bmFrd zEZIrt;XAwS=;eP&yZ9pX(HiADVP1=Ce!Fwn)WO@T<#nqr)tS(#Cu<_|;qCzjC>SH0 zadz|!vnVDg$S2$#@P0KsBDhSDcd`j7G6Du-ZkYzcnvC%HT4*gj#o-6u$;&6)UE8Li zQ(f2B8eH0WSd+q9`*F4wJCKps4KZF8DoC-%fv^GtOUAdtm$?W33Z!#tN zRWn!Ls~(Nr`?>k^e7*(!(5N&zXYkE%UhRp7dBArUkKHrkqJUatXx>mD%Epfqh45v0 z{YR#d)yCuH#^c+K$0n4S9sCkOHZM`f&an#)DU??Dl6$PUqlZnl3cwlvNY*7xpmI=z zVrZcN#nVL8Sm}3-p&fACzG1ZLCI73J+?P0g!+690OmaZo!`L7B{jz#>AaLbrf6g#_ zkXyHm=G+y#8%nnqEq14-PUBMfZr?E)-^B7feKLeS?J;t7pPv<8KgF_)oz#auRdmBI zY!fKylm=glI{qP8_sR0hL8s^WqAYQ505bGxmvQ}@d%R1h;i|zrr!%J`*8~0>Fcpx< zSX(=(HT4Mk`3}QqU&?y0Jd<4yagHNg5L3NZ56iBYEGK3Vp8zluBETwJdjnB=v%i*o z&Hq|t!cLCabZ1vgfQ3~fsRHjlXAY;a@qI%X!?w1kP2o*1eXCpv+`P(TgRSpRZOO;? zIG05eZ<4EVFhN8z#+&5hW0Y&zHS%bG=b1CQB!|p?Ntq(Cd2FYxlZONT^?I`Oq;?F# z&p$b(LN@Z{sj;Y`%wsd(T~`8}=(K?lYik3RBP@-x$(nq5aih0cj3=JTMG@UAho7iy zbm;$1)=4tb^sj?qL23A}gB#sLBjuUliG#W_>u>(x)H}iQ%-uU`oPAx^ncZk}<@I&n^F;7-ZrzuS?}4PVv5qzr5@A9p$+lBPBdrZe zo%ZhM+!!v+>&l3?wKZ0UdyB<}1Q+&l6!~1rZL^;-+V(UJw1%ftl{<6gSeBN|M;21R z(n)eG4G3Gw@&Yt}azH2_Cbnt-*!UYZB1CjIFS4*#2V;|Q_UQA>5Q@!bE-O*8ya(-R zy9RM}FVlDL=R7dB0+%l>txkvVYfsJ$F;a4D+glMtCyMx}=T&Lk(I^q*WIO(j)?Ar{ZA&4X9H(?2M^#5L?i!11mM-# zK~%qf*Yl3T0rH!#7|b0+@`3l_yyt}o0>)RI&d%qKtY2&!= zZC@(_c{#}?UF1=MFCL^zws#>ScP@s$=`*@j_qvmI{S%GIFl`;qt}NZCXV_zmu&gz{ z!*}?0fxN*u+buRmn4?@u^Z-Ih?3R%)AC#j~O>Cn!uKSJ=CqkrOBTuSI;&xLw>LD3%^4oP%fu6yw)+yAwb^-IX!x1!1QfUzZ0# z0KfZ7G6H$C-v2;xqh@pnD2w!?g4nOqG7V^6^x2g@y+h0T(jA)>@n59!R8BwZP5+6k za|m#fW&_zYVy9S?;=NnyOe5CLCgyrizJJ;256+A-j#`Ss>&aX?LHlYf!s|q}DD=|) zeEwiPv|aG3b-6dxuMcOt%Bv&#g_Rh2Zn3Z%p)Ayl7uCmh_S7$fNX)e6UJ`cjNXE&F zCmq(RoObAD32V}?xn*t2Tay8dh!F;m2nOKRTj63MA$1X<;__iTbXlm&rZTz1pdq!( z52-yIST3G(Z$GuK+T~NHn+yI(6OfpVVP?Kwp2jWfzIP0u)tWzmEKJD&Mg$2Lr@SUw zE3|buZTabS@r1l=0Lfy_8cM6lCs%TGkSeT~D7OXY(Kb$f>g({#%{NYwyT^8t2*2m) zASOyDd5P|^B)OSD2`hRo_=8u|m||_`zF#j{uF%cK_(J5#Q)v|xtQ8BmU?9piK#jz! zSqA5!=L`5ujdWCn(bvmDKh?{GY$j=Wn!=50zSe~SN-@0`Ra}nu&EW9Dpd(!{k7iR+ zBwixEEv_y80|_(q?j*)rt;EuMN*Wegj4VaGECg@0DB{EW2fS;dxOHbVWID|)uqd*f zR@WkhPy6Rbd3q&aRFJiez!O8~j*YA#R@~N+HuRR>K zYzh#LseyHy#1vcF1`8L9JS^AGv;3NAMHnWWl3K*DX6?w5mYmxN>vJL49IAPf=^_At%bK-1kUQWWM)KRQv9Y z{u`pt*B{>WUek-?3G97UJJ3GRIMCuI!A3EZ(xjhh*43ATylKT^o@tgu1P(*@hiT-A z`0QNK-Vm4ZC*WiFD><;m-|BOP5dzo&pr_s~u?M$~Zmr-l-{L*?L?gTf>u*8A@E2=p zKst1^6a~h*{^nb%s;2KSQ5}Za<((G0S+A*@<8qsI!?B^}f^-jM(ftTQC7hKALI`CK z$-Rh-n1bBpLQ&foEhN$X6!%4e-QQUZhNIG$`7}anmTNz2<>3ssZeOGAYIM{*K^fyD zQEJxW{&NIhnLj660|OmG$qt+3&dYw&PxyH2P^p7lBes2hh3nW8>Vi(-r2QZa|IiZZ zjDR#@_!Ju-B35wCvp!SCh{gqQay;CVL~SZvYCr-9yjO6$U7*bjGS6z+g@VI9S{+xM zR?%LxCbxg4o{HQi+6)A^oFQualdFKK0at-*Fo38L+G*bH6qtM5$)NdnZ zXW|pNuj8qY2{g%9qXLsV>DM{BiBairgHF`fMf16z(z&&1V*WLP7kZn^TJUqG!2<>s z6uSX>rJ;)cAa3DD?+LP2uhP`~-yFNx-c}qMzUEbPzQIZ#o)E3|V*w}m@|$i+)Mm0M zExbRS2(^o$SMtlV#OfqQ6>!2Y;O?V9W(|=lpY_)uU%kLVz*PWSc(BhKTD3ib*|2TX z<&rzIv|k2W6j$VOFz{AQnNBB;7s2p#qsE2P5WGJF-^MhZ1T$Xnkv-2*<)^-jH~n~V z`HO!K)PBugms*}xrz{LdAmK8_`e3g3G?i~W|Ka|_`G+e%4UXJ`x(hSU#Lg@2>Py|h zJu89c%-@lE=q#k*_?Y+6$cw`NTZTIu?5@BIoRd$NtZkGn^>3d{0u zM)n$D{mLnop^w?{PrR~hm zC_agvp=3gc_@`$ouVG&k`Y=>pXMRm!#Z-Bn|1}{T1SMY+HZWt_l=?Bov=$nl7P{D| zuS@3*Sv*OPOcT*jaV@XjFi0F}49#`|{yW zem217>3s!z!nC%*&uP445H{CnTkjI>v>x#_!;Yl-MmP|}7_8cc>tk?{p zgj*Ew0Nt@$37zzIR6ys5zX$m~D z^_i4p*Y4b6ZG80JFKhM2U>E7`*}5Jb>k!op4s4~RicJeA=2+Gpvi8u)+{zpQ<<^KD z#Vb&V3Z8Ep1kG1Jn-NR%qhxg(yXunsxv!D7I!nj;Num5IKqKwBp(p1hLGDsR>RJjN zkK35RAya{4%C>Je|CdSICHvt|5?>x4Jvl{JtPayi5ic0s=}5GU{lM?jkUt~(MIH6< z`8c(kT-S)}4_Bbh?ye6n!o^RO)E5khbBvy<=5swWDs(Y{Y=wyEYv&mi9@`?Ih$et5 z57kdh^C&i@@2?xpi7_1MFC1OgqLVPTLqF>=5U$j!kuq*gj_QZkG*+lO8|blap%S_w zy>1HV5hsE*my2415zQr)W`}0SlMelkY{}ft>NWQx^*I{`5y~01C)ID0*E$TsA|t*U z+w@J16fg=56_2dk6cd0jB^8P#P!i*)EwxZO(4>3UUEg@Ip+*f2CbH3%tyjvfaam^6 z!ubVD{c?9?-g1AB-zW9GuDDBe%DSc7h=Xsz;izu35es`Toh_P8Fcim)?eD0 z{2S!cE7Z(88Wv1h=vE6zS`G@`CvrZgwj(;QT?4I+_W>?>NjGo9r z4l4LL?M@2iS4O8|1GJLXn)s(a(LRY8d&pEA**cDlH`3`(s4tR^?L=(#Gwb_PN%F6*h}&FYG?}Xq_!MyEssy1jE1P@ky$9 z<62Kw;+nyTbD%iGF?wrwJeMI+h$tmgYjODqH%UlUGPtniokel(`dnstO(Nrobbcps z5gB`HfqbhPn53l|QL4rrEA8Un<_54vP5N$Jup<3}jAs}|9_<+}k-YdG4GS0niGtIJ zsBD?GUg$>)0;0l8jSd^^>9Slgtv=*dd^oWpfrh3Ldr3_Va=1LFKWP~50AnTzG@;a> zTqbh$*g5KXdt66BL_D>1ZqELpy&$zL7RW}83bH*Xm#1>1O0xVDp<6mMI2qir>GEA6 zlz;q5h%H7K_W9U%eHFcDGVLW_&dIxcG6yL)GuP@?^RPCH*XlQmeOytl+t%EmBdT)uMPV>NH2A)w=3+kwJB-b{6!0agIy;F{#X{${-b^xuhY6}y2-n@a9%y!m_!`F#mP zI2nwZBK4jTN+GkWU`4?;)e5XIL}!4h`#Nthl|Q>fp-JK$kuU#iqHllzQUINdVdX8G z)EVdOtI^9WX`vru=a46cX+s}BgVz+Z6Pgu3^FyLx+KJ?XmU>XzY4Yji&yi^Nxo9g} zJU<$o_&09dc9}q0D@KDu@sdky=^Wv+I-)PkCff!MD5_7PF~j7w!&mbi!!&U>|2Xcy2t)On29I?h(wW1TYJa+5o`pK67OhE4g-r; zMqu#@m#Lsrk=rP)sFE;>%$&Uxc?AWA$-qm45WZQQ4u+a=I~e~rS!ezfPQDN(pEiAki|U@7 z85BUXwr*k)Hs~^TR(0s$E+-I730{)ro`6pxoaqPJ_*aacTLFJ=aCH((_&osNaEH!1g zYnetXE}A=jcm!?kqiUY?dRJZqYF)>Z#6|!v@S8&syh`y6mwYR!GPDAPuL8=`!UKJj zTui4lfoI9C1!)2LYWpn_cG2+>H?<)!fJX2I3XlPRFDV04_HnX%% zw4>zHERmT`hUq~%dz3z~6A6Ob-<&IM2jxwxwh}ZIkGz?$dfqKw5UuKuBc* zpv<~SbW8Vf8cCq7NLMfYGw}|NZ@-fGIE;4w^VTD}uj~JixM7vajhp;0I?^s+Oyxc@ z`pso48L51aRDN^qgVvu;aoae~ty1MeeJ7}mi}X`etKLBde@Q%QN@RS?!DYb4+juna z8**^~c=3TzGnHJ_n7jmHss?_Re!e0RM5s?0v@UEmO)g_WA9`!e41bOY=4^GFUNsPEQWz z#gRI|3X(a>mPjb$akBjwrFlo}ran={+dy@|Yq5{2BNHN!#{9LvQJ+fkE0 zLDCg9<=N~Me(N2tQya(hjp7OR<8d?Grpx>Eovd8kM*j$FrPWr;>Z=>| zXjzXY^+lQ|Q6pMT-VK$91c1kbszJt3%;&tAniKJ{nfhCiQz877K_l&BA8`u;Xq1pd zlTqEdce6Tbf&?GNVinMU_L=^b5u_{(txXLs{`a$q(#q{&p8QH_OsFGn_(RPw)c?>} zkJ}^9$dbO8QldOf5*xC;R|k{VU&}5#^v{Co_t2b1v~adfC?`AM%>uW{$0~8bk|?za zcpd0|1j5<&PeG3YHx?WjNz|Tq;}z!AHF5bRo57i8!`}Pn3#!Y{f(4!|6LTi(V!nUg z0oQmKf@K-e!Dhg%iLJ6MlGX`2#(;;F9&ulF;{!Rq23|&{L|t5#M31>EyI4X&hbd#z zyjcUQBl0O|e4_L=iwrij@E!j=FYan2kp2;uE*7MK9yy$TySDI8B>r{{_#&`&VS;5r z3T?1FG*Af^*yH%{WPc5f2c~&(YhpRCEw~_vpdv=;!7$9@uOz3b{Gbnp##c*kMGF)Y zkt4u)4K3=^f010wr+SNpCXM!R&g;p<3yA%u4onn6O4lY_R+G*ciMN#I{%@MB3Ie_D zpt22@imzXsVgjceqe2$F=h7xk|8*n}aYXRF8$7Eohf+}%XhHQx*qae!c?d-nWPDOe~*mobMj-Ie) zFjBo}nJ-@aq-nmApQJxA=IIfcHNSQFa525(?k0EwC_QLkrh$(KJ^=;(2QF|ac(gOq z9B%cEA3YiUBern;#`WxX1PB-fkx9!RkqO0f7qNI-Ar96~zt)Bs!^tR|JSxeeCOKdc z@D3wxJvW*4!@K#8IexXpjF2E4z}=)VL9nP`HCB3*KlF3X(7=XwbdaLfE2C zP7Dw zPOY!*CAb=97By>XRK5!jR_M@UB?R+Rjq+fU3&Lay5@SFjJO=4*8Lu2}Ld}4Zu-Yj5 z@pnmu5_n4Li^PZbl=Pq%Y1}qY1=r3zX#RWjx7vw$j8~rr>c4H+<5G2~JjYK%&IF!B zOUQVE#J+4)Y8qwk#KA(pQuxcuP)tV~1j&OHR%R{nS)%Q#P3zX<(bcx{y}0irc-zp% z-pV&h31gNlN?KFL0LgA9(x6&qM4fpiG#U6TDBI{E+-y(L*gy@%0=4wk*CjjNyM^K= zyn0Yl>95gZ{(w|1BI&-vEycq(D7e6}>750xB%4w3bO86KCy8SC1)fEnXr07dcj-(c zk_h9t*^;|N)HJx!+_Un&UOYcfe-mh=r6q?QxFcVPOHTZ+xq;Q)58j_dfbms#Q}JsB z&+lTr{bo7y*M;gY=enD~p-JN}GZ$EaulX+1A16muPYHLoW4wLgE*!>9VHM_r4xkWD z;Fg0V1Aa-x?GVY*4AcY>J(@DYP}2Gq1!Q8q`6CGeHR~7pnbobDTO!+hjUI|YOBYvr z>7!qD1TKPY4oVb#JNeI{trDiAdBvv~V_$V@FG2fTuJ0re!$r9z6*^9So{Iw2u-ZhZ zMrL#Sr~xX{cfTjLQ;NylMTRHI!3w*@1I$XcIu0zR8qMtd7;k628n6<^haMYc<(*zA z{Y-x|yM4Uwp@?Z2kEy0n839Fq8wjmIi2fT15Isp1e&|nzV`f~_(Z2hyMRMOTOG=F@ z#@|9w;E=jQ%v|;ZT`jKW4U^;}$L9sIi#^@qq7EM2i9J$;3o{u z^f0jMZ-SimcT)obKP1|sVh(8MAadFuierJ%1nR+_A+8Y!u93KD5dvHKGO6Q@#A>b9 zkCT-pl`rTYh?+Qk3zJey41i=#>SZyReBC9&xL;XKxu91&xQktSr8$($ z-Oe}ZiqR6r%ObX)j%Q!Koe+p)H3#Ps4W1FK{)}r{H#q+Rw43iUu2dPE10m)TgqYKK zftYy*B#TxOY7ozcCf%YZ$xXVOCA-_6{$Dgrv%W@qQlhYV=C0h9?nMfYK|`m(!xy2Y z4<_MPdp8+ulXbrq<82^SD;mecryU)bxI5X6IWN$~`KS1Bv%@Z^EXKGD*g@IB*|~-4{&W}d*0OyMp7`3L;5}A3y9u7#6Dnv(qNVO4z^apzwPSK`NUcrAN*2P6?3hco1>~10OJWEUizH(a62EaUq z0-J@z*2j~f%_&hU+5$G-+9P`9_@eJqqVJ9O(_Xc@T>L(iTV!cLlSaW;yY&U0Tm(h4 z>`^KcxzA^53+>c_m7tvEfGSJKeQYfREf^+v&IKA|T5C;2YI75}^CQgs{Uc`4YlfLk zy#5<$+S@dYS&xxj!~JJbDENjaZhDiw%H1V^u^a2}oebtumI>6HG|7=f#qMl*VFHu5 zf^aJY5e`2)kq_ai&UJp-v#|tgO0 zKgnqma8P$$=(>VFfIdBYAqsKBB4@Q& zN9BtjgfK?uc{@wR%W8->WPy=@s?~%Y7BKni1k2u&5_@g$X2`TwBMzJ~FTPU!w)?LD zkJ}<7AkH$6iUPRAz9(>Scc3BeXxCV=UCNTrFM@|LCKepgMrfrBc!Oa?Du3b;7*z<_ z@GddPcOkR*_5gTwi>zw6yD1|Kse-lxFRZpvz|{+ekZl z{+M0FHK`*j%C7_}c#L052?qY>Lv^IxK;f5WI#V8w;Y({(DM_P`e3CAp58imjK!h*t zDmd^X2!EeRkP5oD9J(d1A1~`iW6d$TQL$nV+4?MoN|@6Ie@xE+;2i`+`VDUga@tC} z=)egg7wUN{$~0Gqj;M+S?ng8ipcm(!8sC!unjttj^LE@sC6z-qEW5HXfU!+?t(r;M8^)s-Y>sb z?NZGQ%@nS&dOfvbRDbg9%3O@X>Bik^p8Qc;h`Yy?lsCOElP`lWW4b)rXiN=*@712p zG~1v7)y;?RTNfF&OfHV>1%YorO3Fh zfy_!_`14t(xvNDshNh6RF9Bd~2<8BoVdAid;zEL(p#ZRjFw6CpfN`UPQ|UrFhbnhOdI?i!p>26A@YQIPW{fIcqkPR> zoDw1oSD(0lZmRN5+vpv)SCw;Xu#jJB%Tt(T=PLNaG$Ix*TCqnJQ$%|SYwIUltLdXx zEk@NXnkku6954^X8)iUuZdm~*gB6U$=PWf^a3CCrHzWTqO0F<;Q%O1s^cOD4Xkl&0G=+a8P* z&6lWKG;Y(}GTSx;>(?L>iHOkcn0YDMvFJF4a4SH6Qg$*!zx$&Dw-6mhB@J=i;*-kB z^fy}Dy2qk-izZz*Jrp%82=Q1IPGpLXw7QhabMGPQXoaX_coa9=L*0&kOzq+{ZnlOD z^CmD{5!ZEof90gGd%_STdbf58jc^Z`prZs?dG7CWl6}~JDkYDX2MYoV_01}0Y$J_VihwxRdTY!>T z=V&TZEiR!K!NBK6ER0(hwyD)^Zo#5S@HP>q2vO3uWF+A!6PmQ!^-$c7K4}|GAN4kC zopXI(v-oshYJsgxNJBa+&qPTes;b^`)ae9Uz-6Zl_R1`#)y;)dgUgYxcd<#B3?pW7 z*(JVboiTbCLYW!KFF|JWl5_OjAn&kIe!2^&zayL)Iv9gs{#!H>dF?tI7ckoQ3QsBSv0mQ~fz}o}>8VI`p_>Ql)u>YknXgS{+qUA8q@+qN2 zWZ;J+1pJUJ*XEzEzivC0S@O!+7aQr#W-#N=xOYK)N|1XfHnN@^n_LPfpySbnt%yHM z*_aX<-AiD(I9c6HAC+m(&}h{JX+&inibTFKN0L>|uLKuPsyE9sl|8QQo3^dS4Ecz< zshcg<0)Z!1`EV0vPapgxs307UP5@xqZ-_}Cd*beut@Mdc?u3_On0n%~s~D&)(GjSv zVt+H$yVGcz6DOn@P(Ja=;6}6~* zb6b`b-~}sCyp4FVPFV-a67dgIi&>eV*^GaTK}@H_Te%N`c`VMYG?g%REa%xA;Zve#t&20F&{N2HH$8+<6;86h#kSYlskua z-wKXyFXJlrk>C;U5kGGWA^EKZV}_E!d%6x1{kF#n+Nr7^W?vFweQ<8) z!D=D=nB1fK1cTV-s)kr99zcrUP#Qq6HKgulS#?O_svj2iNa^@s-H!IAZ?G$~)9c(t z9nWv@+w$kcu=c3KgEKzb3=QvdeQG?vs+Hw^Z?UVBSh<+CDJ*p_6CX6fgYRYxfSDqg zF@hN~l)TL_cN=~CG_|W*x^!?^hhcK?;3M^NK9YziQJ4^nK@@!GJeZfn*S-dhWq_Adf|{(TAxDh9`j8^D>mwS~5XpcG37 z?FYrqh^(PUKE2nh4~bhyT8PC+lu!iyr^k^pz0oc0SkGN$xG#JJ6WyL-tu0_#)sezQ z$%gD7Bzrta0|T+U>(`iwuITrAFCDLfHQ25+?|Bm1B#o(!mn~@Q@G_sc+f9{g3N2^_z6ge>?%>+q3e8Bo*jVY2SPXQaYoH4`=4y zBV8<3v&nfq84AAoDd~aa##U0z9{6r;7B9HZ=InGWz_eX^)f znm?k>h-i@d?)mRQwcVx#e5dSt67N*mJCh#xqF(6lfuCL!2=0%JI5Xs+i_LVSe=@Fb`Nq41wD&b)oxiCXm5P*4 z^^14OdH73(hJ#%M=_GJ<{o;bTgK;b8L?I9|4%vQ-99bASc@KkBovcii@V;|-IOhYg zDP=O((*rCGu8G%aIWD$sSuWNMh#&pQd9U~lPi!o;MsWBb6I#MH9i>19D`6_q>%oBT zHOXf#^i{%f)70|zxXglR8mVPWF*_yF%mcEgo(zN*OuaY=E=R|(bx7UixN6$o#@iOT zQBeUuWW3nsKxz%&x_;iuVVEO-Qr;cOIMJ+49HF(Zm&vNpYFF!0ZIypcs^02S%ONA4 zv26*;NUN>LtB^}48&S7_=5prH;^pNkLO-&Ps^@RnkZcxeOf*KgYI&vfB5giwGkRz& z(|dToia2NG+F>2Zy5?5hVPxW(!aM|e#!eK^A(|FRDGtJS~h&3txcfrul$v;Z96>} zSj}^cZVpMmc*=^4^~p5*p%^jJ=C_W=JaL?~e}cG?fs#$0l@I#=CxugyzUX3feAc>A#rKw+nnzzushK+BM7mI*nkut~56k7_myXE!mGEaw-; zT>q4fKmJ6&`%%~Z^t!j1fEwSDF*;<_?I!<~!buhFQQk~rGFOqvKjVn&)}}wHbJ)+V zw|jYcDiPOH@3fC(^Jh49dXwTj@Higfe-@Z+!tTlL$>z!Nkl0#PNeF8sV?(7}C0{<> zU_minq*3G@quU3MvZ}V|+HgT%Un)BS8eDrc-oFyzgYn8!8hPO7voCq4sMi;bP?+@k zlcGQSsBtNAAtQi+>>AM=IK}b;p)%H|%nZdZPVXWZ3xeH4Fjl}$*uY%F7PS76#oU?c z|DY<)*G77UJr_nyaiK~30(WdYfBqSoO&c+ha>&+fwiV&!JvdPIrFRH$*b4hA^PMZPFXlqJe#U1yQT>d^b zGbt(|7SijGfbLGoXQuSEUr-0x=kXbNpm*t|nG1@OWx~6)67$i4gHsm8gK=5?clAS- zAsewX!iqHT#aHlQ^|sy*5n4u%yxB3k&s3>QlV3{Dp4($TuRQO8!w=Z%ARjY;PXpcoK5&vPxy9+5+p8Y zpAG(-++OI04>*=wT4xXJfh=1uK$eS%gAD7$?!_DZU%ym;d*$liT*m#nNlS%1xW2xv zETzLutORzpa)BMLVS&RlN54)ZuP(s9lX<-TwRf~+6(`oVXcV-<_~=*a)d+lL{^oLB zsO?wTubXo1H7CbkzB(K(LiNAeN)o+N=lqr?iqi&Z<|m3@{^kY&d2i?xL73Z|Q z+h?{6P7=3~>r^+il4)-I-kb7Q%GpwB_VUt?cRxOAV5E8ac{{?@5x}}A0*v#MbBT45?#q`V!X=vf&56_J1~<~yhAl1(&(u4vcgQNP?gcd+1a9z$AOgO_x= zJSqX7I4N~#t=-2!baev{I;8a>Y!W>#-Rl)S`#z+=dWI7{j_+BS4#cgfBrv~+S_4|yBD8d}U zON^_tcy@?wb>>I#^1Mcth@0KHcMvdc`6yuc?m7)WieZNu{biJ!!%wt62{S|Ao7-V` zpuMLQgT;C&&|3ZyLObodlckEKx`|JJxI$-2y6+SX7~Lh6hn})mNfv+5d5xlx*s55X zK~9uo_8R(H;LjO8vsbmSx$Swht2@}=q53FNiMo@p)2U+0wc+{|CEc+tOEU^f%Mi%xUAb zWbuuYi8OaHT7?;}QWKL3cX`Hx6k$Y4lJ4q`b`lFEPmx`rpryvtM<;koTgJC$`nPuC zpFE^kro1Ee1C`35c+da9XcKUIxKf4JVlu?5j510$VT!UlIa+{=+|G!5vh@21a75eA zKfvOpvVF#5x%)7S#*Vj{-mcd>|3~0`%1MrVGV_tjcP)TF_v9}nyLqKn))lSGKVH0; z?DgRkz2`oqZLcX@%QFbmNzK$DR7J}M1MJZ^c7htj6@Qu1Cn3vY|8b)I38e_Lwz@_4 z$ATZFCP`bfu32%Xhm*Y$^n9--`rjX6Fjnx#;w71!xkiEExS89)U%90F8O2>&X5_K+ zexF)7Au}JSXck4CB|L#HtMe9#cF#WAcbU>Y zYPHwiW^4Y6msEUc;|zg3E|M1N(J&i(*`5b7J96%lMi1}bjd9*^x{KI zSvtYT9XM7zf9Y&L$jAC1TUwvM*+)6jN&upCT4mgp*=ii7W~7+4q=EpJ{D!oG1w%XA zoX$;`7WGf*4pHBH68x=0mwenY&PfoFBgB{mq!H6B#_=p|X60F{Rq~__{oX+GUg*THo6l1K|1vj>|A%nSYZz2laE!=CxibZ5GpW};-|_)7Lb*;ZT$xNPqfr0sW$Hp~qC?0o$lZtD*VNP3F(4_jF&0 z^dF5WyvtN!oSj6zCsR|_uN-czPn3#3QpX~|kmG=JSNeW1WG?Z^&F!4s0l8ndM%=&G z`1#||Y#&>bnLqBm5Szs-{$^+<=&Hq5$wMW=f=vS@wSkAmuz%pUe2ivq&l!?VW{%Y0 zWS{a^0^e*XJ=tEdBQtMrkZ5z4I*sJ|_37lWmQE||WslMsyS$)fla5z4&sb|jel%=P z^~*IzQ-#PL7VpATF9uhit1;cZ7J5yFlJR|`#iZ#luGD^b17NCO4F0QWfC%67iK`!DSlqjmM^s}CO3 z2w6G=z&q=kmXaaJ=AfI zSR(1ZQtP~HGVXPcj=81#8n2$N8syw}<}@P}P7;Ys`n4>1U!?8(95>?Dd{cNK-aN0x7vN<6U*>l7@35$Aio z0KHC$2mR4n@fohnqJj8QKwoOffhfM0i+~^6?55J@orA$RdgN+e#;ge{uk=Jge!^VgmRoLmC_-qy$VWY!VV3?o$ zp9ru9pMQTuT+~_Hpq+;~rC|s*p_1N2)Ev4KxXwbp*pNxRH$+ZPD(yrm>KE{5)p%=% zuAav-MV}+D9BOLr9XAub{WOt$gua?;YRu6{yFVDNEqmil`7|uL_cI1|hI`MK>!~)|ii=-DojlpS`*3$I zsSB%+rm3c}rirGJ1^wMjaHc7c$=pK+P}1KRd|{hMNk1}*6CUH3Y|<%pt9TlT_}YPO zyVox(Bh1-W;u;?|>z2U1zE^~bQbDi-HOvcN%!y%%gu7qhKpwM*QVbyJI1 zE{u~Q!AVi>Tok*oST%Ek<#nosVWFl>C&axcGp>Tj-s}ukQFjk2E{CaS_rYfY%D$@6 zAA1kVNt+v0G%AO~w*^!&;}f+88>P+fp46S3&ha8Q5;p`DWO0yV7Th^>jHyCwC4Ab5 z^fNK4Q8doDf4UXvl4RsdMsLLsvkZS}3>#o7oPgba9BzHii(_11%E`PZ$qz^A2ip%H z&=@9}1&7DGjmUw2IgAe~fr^ermO+&lB;=dTodlA{ML;(4f2Ka2L*+uwYg-q8tb zGN##jfzyj$>(7Z&vN~J zNpMoT2R%W?_J%0_Qoi55WKZn)CT@50-G(@0hihaTzw5E~n=`+QF5_P(emCETp|P^( zCRaZV{chcyxWMN^&%pAVgwR#vas`f`(|Of0mCt3*Vp~%Qhe3o{d?qcWuZD;?dTA?= zPxNU%=`57ZFea20IAR9-xZ|xrO?{)t9(i?xrJcbp6|mq(>%+KD<>U2cH&vmo0`EM7 z>b3f({T|n2-Yd(&|HIi=fJL=-3#*8LNC^l^jEFQycPb*%-2wsv($YPMfPj=p4Gkg; zDcv#B4Z_gf-8sa}{Cmzh_ulWE``y2uXYEz*-h1}E`|b6vwGWc{TOG#k!Ss0lCX_K6 z0>-AS={5hQVh93;M z5piBn*D|{2Tpuk>RIo9{7fij) zf7J{Wd~zaSNe?IY;08HuMhm@G$)N~Ef8a9JTA-D74>_-CQk+v`IK(3R4F`RW9?N(S z;I19tN@!BXBS0CBVd+iE%2vNBKniVz!$~`8nb+^E2~n8ma&^`UN`Dm|XnZ);=cnh* ztP=h4U;M$Hyor90`Lht1e)(kwiriC%JmJ!Mu1KPrM4W@`v+@zAraUgSXvfyPFc9}I z&XUcO{$k1&&nTIr*`}Pq!|rpo*we>~d-;OyxH0wmw6w&G?v-NJ^oo1do;$B7gR{jx znMJm$({^%qny^HzL6%EmJ6!;)h*+7~A4PSQquCp{jk9d7Y!Gf1vt!a7Ke53Ph#CE{ zPlWK7ZD(EkB3_k8V~42zY1ZdZ1@HVV}K9Cr&@dNXbl zv+eZ{J!AbhOD({nwNgv&d}5Gh!YvD1^?Yy?2^JM_bh2GqnP}@S4UI7)W$I*9j#Nsa zP8JgWHwktr2eIC^IWuOwtF*~1f+`$%S(W<{`u|U$hbK)*@mh~uni4ux_?0dk|3_gw zUAVJj8UipIMfJb&v0YmVsK#;SPdivM&N3(iCvw#>?B6ARRlQPlvt=W`*0Fb{hGF}5 zqFIS0lFs?wS)t9l$mX=uZ$L#oi)a)(?du=m71S7$*J1JPVX~ZsEn{M7;=dCZ?ZLk! z2bZ+hHmcSc71NTrJndUnYVl(Je}uwN#_$;Xv4Q&?a)R6M@s@v3@mKyns3ozs4@{!U zTzi%KZ`dOdEE6);;@8BlGLNRPF{~4D$EcbS5glNVPsK!Hm@~&1`~}NDjO_x}w?zr5 zhEEym2F)ivY>+euY`8|pe!<<2li0(fm#x^FEN0?o8Lw3yLg#-$z*uIN1mT;4T)+?x zNWWtJ%q?zy-BfC#sPYhSL&&S+)u7AjPRu*FO@3`Lvg))=beZRC{AXmOV>81VE(>s< zPYLg_*;-<1B8b;-x9=KpN3y9o3S8uLS=qBW&I&ayNcmSG9Mc5wsBQOYcHJ)F)yTBJ zcvOzI+k23b_^B4((cTpm(5~^n8q%%85@)fOXauv^gON7VRLitzX z1&=eqKwm;)>j#UbzL^l-TVUkLR(*p8!%N@lChV$^OM71pTat*e1_s8LS(VR{flwmr(dl%j9<)y%sbgZU z^pvpyJ7|`4qftaQR)w##_4f-nzN;4nn>wc0P*&Fdk%N$3!<-nZ(3PK@0&nCExy?xFGP{ygKdGjAP$*qrJ-G+rEq> zS9WXvm@DL{dU9%ES3;gAINrW1Gm^Z`(2l_}9Ws32@!m*a_1xKjHxIHitx zywCd4UOpZ?CjT8`XFFV)A1YF$H2+(o*|gXlxHwpN&-je!?|=ycb(i03PLHEFJdw%9 zA22BDDX^QZ$*C~i`v(|X6R0o&W3EIdx>Vx6rH8|K&FpD5CwzeE5@7|DB9akE-U3U{ z;-63(xcEQA&Dy}XjG&eKpAvn(D&SfiJ%2_A>DH;eZN````B?J$=EWjW7m=S77=d+! z#R;rApg#IkXeAr>@|ms8>E}M=Js(r=htyU-u;~EyL>j4Z-urie86JMs@dA3u8&j{?f@WSyJ)vjZAH)nC{Y+ZxP7yA>BPkGvP8&N zH${RS2rQ@fw3VTEzrMDE{oCTV)$>v#Ad73>UHH;XKxBB{l%;^Xoh})AHxt;Q#{VKP zy(v2x=L?4A2w(H}N7p`;VV%#l{l*=~3F)CwT*c2h!q&L>l;_i|+4Ppxm@Cc!VUyHq z45vmVtsVU5WsAjDgy<1xOf0>FJLGd2EmWEw%WvP=9XH7IzyCt}jl3(n{A+91G^RRs z@$rPa(D#R2l$Q;6^rgoo2w12YCR&+27>!HDu#*@Tbu@L5F#Z|VAaK1}87*vGADwt{ zBLkFMl}CoP_;=p~ZiC55`37iW(3g-uXuCzorh{eP!=?UtMk0&Uho(nwwG-)3Z%@R& z{x!aEdIS5~%#74$r1u{#Hh*%9`LssS4idnI_X4t%tuPOCcd1@V7=$`GyB7HIoe;f*Fo=k0PL;p9>`Kzi z)RUVX+&p0@lH+-RvY|*~uFB>>+_jtqNRsk6%BOl;QIMjx$K4`+ZG25D-*K2DQfotQ z$!F<3>-jkRK0Zt=gD+|IJ4tx!P~li+;AFZ`(x^o;mn=b~MSyI1bC%xf2`*ekJLfAG z^4%&3FUIWHiOkf=)+pkIVq|}q8s>o_J=yMZ8-&g}+Sk(~-x+;;t|+NDZp(nT4n&gqh7U@gB+z&92 zKy?4H1)JkS?>H`Td7RL}s>W?#j7WrD3HCc`mAqI?zqa-JMt!yT?Bag|ldf`)KJdEV zioG+_*Kxx<-87-_4YA1?i(9w+D-sKgXiI5wW@W}!ssOIRz?y+th+IdZ#|?RUn=#cJ z+0pz3JRjA6ze~kYy_-}>eN%p~(3GrYWq{R@PVu=$5bx(>{>N=6F&7VrO)tBB@Nl`? zt(vd`AxnJ5H!&G1_!0B$Evr~kK*mxp8i})~25V#p2lfe%s1~S3=v8 zP?X?DAY-veGe)S6aqlpnc8HDK1hO|O6!`rHPq89;%9fphjy>D!m) zK(y=zrmO}MQY8(BF3QQpdmrI0s~pLG6!E*AYl*~mzW2Lu`)%aSq|+yTrP3BOcb#{> z+QI3jbENGhZjsRiz>+yjc{=BP50)R0cqh-dcXE8nN z0EZ_oP)VBh-G)N&l(|X!p%5Z~q?ocJ_Vyj70%D90A{vEi@60309j>Fy6flw-_WVSc znHh#Xy92e4ljbxH|6nA%UK2)n^{6=IxYs76cDBZ8VDKj$P%c+f=HE#7X)pEE9`@Ak z)^9Vif5;_JPHv#K@*ig8?9|Js={ zzq7M=R7PSo4A>%Q z_MiVAw7YJe3mck1@%imI99#Xm{`T1PjK$*dQTgYPNhkz=Gevkag?u}?)-+*m!C~)| z+78c51Ci{V3acHz_$M(T^kTpY5svhFc-H4Q(yNRumXO|e=4@m96&eAc|rNR6#xoI?`e4-K!X` zv!p)DCl+j_{w01yY%*?$Sng2DsMQU}76 zxE5?gRY!0Cj#{UXvl(WQwp9TpEBCVNo+2~@MwIY>J=s>;f5x&mf5H7~I1kbG9HBvxHyNIhsr`hNyr zQlh^=$z!~7+Cc>y({njrx}#%1BSX;~g7|**E^M&^xoE8fd-T3%&q}o*A6WQs-jp5f z;D>-qqAy`TKkBq`8ri`-Dv?X0XEw*xo|TQ0ag7*JyglrkjB`$-VX{Z?w7JGdCie2J z(e^EQ--GIEg?rBIf>rm&aXq#0fdXL@*dX{BC`0@$!Q;54DAR_E@s*f~HlK0pPo;s+ zgyPDuq3Tr&nv@Syz zAEUlAozuo8G*w@FO-+pkPa~W)aA~NKwJd>zk*FE67dWzh_IERZ{>1z*+Wuk*bBnJ+ zm|NTnAgGIprz2$b z{$&Tl$jHu{)mE(jd#o;kmW3`X^W(97d!Y4i&I|t5ui(}+CDl(*7jQv?z|C1VqwSNJAN=@ z!6C0+M0)pK=MQWB*hIkg;Q8-B5W}i|#aRJf*JX(9wY6R^;3eSO>Tp@PliN2WIH{GG zw}5aL0Pse&l2^9FFkADGLvor+uc}1WF1UHt$Q@XHU4TDshCctK5^y{H4xWU2zD`5h za1GoU>IGd_K2;t}-AYXp9TgRK&v*CQ)7(Fq$(!4()o6tCK5hTP`xHBdF=5^N_TO>A z@U{Fn+`wHPrK{pF%W}DVbP~T%9(I>;-!N_NzT#ZHSR(_Z!QInKfy>iDoY5UhHnh!M zE1Df*6xBV;B6H%UxTg#_6+>nKM+;8vgZ8^k>d&v>7g7F4Fb16;V^ZDZd3>r)GF$h^ z-tBFNTq`$MLB2}ey{w`EG>L2qf#=E*y7ADTr9=`TbyS421i^cs zrQKUI>lc5|@(6}PQlb-qo<6)!;YrSifx@SzcP-NlZfOWD&)hGKifu_;kJq~83pk~) z`ZodoEpbxDn_h1=l=B+59WzC<&wh3W?Zr;j(^AxF*mKIvtUA&)lQ|}I2Hn68 z`dF&_;r3{qD8rwc7SF~4Pbk@d=eIAA7U4lP5)Gks7FHmT-=Hc<%qP!JUm#?C;{z_- zarJ7!B~87Jxzf7xtI8vEh5JsREnJzHnqCf*t39;2H`r!SNa8SC>F z4t!r!NTC`s*5i3I=zUT6AFTULz@vH^n{1@#O@eeup+K`#W@RPzox4Rv1YD}`Iqdz8 zDsi7X54X0Xpa(fY;x+K-PEKxdVJ6Ddl{sUfdP^AyfB0$s z&VcOb;m1$&`O`OpjDo2;72gR58YNh<2XleU@AuO6$tJcq;U23omW&Ma7-MqV8_4ba z8FJMUHqk)o>x2dW9Rk8;tVxOrrBU*apK$W&Z(tL-oJe(g6m?TEx7jGoO3@iPFS+3! zCc&$uL&j4o00((U;O9!quoV`hPA^^PQqAVRLTS*2$c9~RP`0x$$%b^C)DC;IeQV7{~zvwZQL z%e1Vlyg;Nrzr5h+P`7O*f8giV&VQhuacf}7hDv8~dneV{a{pSydwqOT6gDt-;d(Yu zT<#7|Z9M)*l7w(RqwMHPZW6UtOqR8ircz{nw;KQdn^LREHxh#CdaJVs|-C?g`QZ8ew4RhMtp9NuWS z7?A_h-{7_y@wj0xc0-W%BNfrF2%Lp$=9@r|2GA!MDjPSuj_agr5?LCDg@1f3@cHPk z!zp~0`W3`sXK9ddC8Zyj4MJ7d-s>ob0R|pnEFM|(I&~K{GLe+h`DA?t;cS0>F@sp| zK6_;yy>nOD4I2srrCftjP6(92AtTgPyzWK7)Ueh8um}&PvH?>?dv$+@B}w(I2~>(4 zFp_Y7hDn)>6`rb0R1qEIPF;sIC7XTdjy2YQ-f%%L7P$P41y=S668zx}&nlBP@$t*b z#B9gzSmZrme65hvp^&rV4RqSqzZGIpW-DtBHEj&!c-p3W2GA_cy{>-4j!#5@dP6{MVp_0xbJh{ zhs1|e3>SROob!zChTUt?VSkq=zE1!rS$grWpX>-YQSCC=Kgcveg!@FDhr!E;R>$kb zkI0={517V@RDOce-bjkof<2s&2P>D0-KVWF+rh#6L`3r-+9pXcA3qNS>fivmxOmmt zdLE3kLPXRBqWvlEu&J{HZ(Ib|^->$LXX5lb-e<=GWO5bT_@;>OM^(n(i zZ#eNh8eZ>qZjDKhP)XxS6Ehd{?D04nX5v)_USf(|npjMO&|@QNVot&k3Y@kutflsw zhf5zl%Htizj{5bR^m+wtE-X0a3s;jXeg39g^IY#g9!OqK6&w_GNOPYP+i%cKr6@Qy zbu}s+2pjb*72(ugZ{Pa6@^I&JY4Wta^)ifUmST{AGfmD&3<>inJy^&3e_!rjVW`U4nO)N`{zRHM>qO~5=0Hxh(CZpUrYk`{Pz;sD zhd#4!pPws2OxKeuKvH#EcG{N8J7IpUJA`OtlpjiPGYQ)dIcO}1fX<^YIAK@WS8+(V zqy&wUY^$3459u~ZspY#8d+6meqOq@1!~X&O(PuyB(VZ~#;W-+CW<|Q^_+1}^&_|q0 z=9gIWkH1ACvWH1vnj%XHPF?b{*XlHlLf}X3Dp?2?=0o^na8jqrTtFQ;GhMJd%9Wv%u(yP}o_UvG-E3_~CfkwarF1lh;vbTFOQ@fZk8PoMxO77^(`bQmU}~ zZg(v36MgO%;3%FEvCY&F0en>J4H4tASLSq$(T2cJin@sHAbUVemhx|@@UBK=A{_Q- zmxAZot}_L>{Wg^PK>5eq_DoHh9WWdRCgmB*UNNLlHL3f1uQb(obs}SV>|y>?&g@&8 zNdpP${y&_>QIcz@r~T2?o8Mnne^ba1*!euC`*KRbfqj;phsWmr)qH!F)Nkg!+G{iQ zfF#7aSMIAIK4RS4s=o=rOrnU?zJ>EE%4-V;0%t`zaDc|Q`GviOwS}$6m-14()_h7* zkeudjzMGRpBYdG126L>QAnD+CsO8gXU;b)=CMs8ZxA4G+AY+SvA+Orh6XuP!x%DNB;!Dan zw5vR4Hb;5{qC|oJR{_?~>#8Epcg$9g=E&=alb5ObCluK}(!o5~o4k>p9N;`QgL?&? zPkoLV!alo42}UvOJ9r%GKAGw8d?WQVfgo+|a^|z=8?HX=TitISfBlpEj{U$`)&cjg zP~RqdB*I$xEH^Vt{fdu(CQhGXY|V_4fHS#97Nu`+eGWWuyK{mCV!=&BczMst#LO}Mw3t6b2nAjy^sM-&Cy=;cu-c`A3KH3S;xOgn;?sI@V-oEPWgjPot zOB#cHF0Xg9gSajZ5a!r^7Z=D~>Hud|RBveU;= zjM)PqCHYiI5t<<6CiaBT4uR;ei$oqG(yybygn)(Eom=_K^!@7 z0?*)gc~l&nREa|^F--dbk?Y=r$fYW9UkH_;rJbIL0oPFk``*j;^T8kVKI%rD#O!V| zZNpXKq3Q1hezhn`37Y3>(*H0d%Kuk9a-Kr@nx@Iq=M)&1s?1o-kXAoDZ?o$VcTC2J zJBB}_ut;~8f4!{7W}4y<8nSN=b;DP#F!=D@w0ShrC+>?OTU<0}9>Dc<>Et17vzN-_ zz6@%!N*G`SZGnCyrU32fU`jR&cx@t+a``c-^1E=CG7aGMjuEp}CXLJ#s~5=q^US0x zOhuY;s9cSeKO}f2pnZ3@W0DHx%@NoL+kjJ ztyyCq*eca@Y-)hgUh&yLG^nasS%P&`V4_<#d+LtpMXn}}uJNQ@jS8{F3==NznA((% z8&wD8yIUJuto3**&gSm?akB?-=cZU+|IgA`ISr7af;gb{U{Re zy15mvxNVuApxs`t7e@2zz0*FPshph0tDa3W>%DeODLxzUgzBZvXeW?^{#vj7r+JAc zKe3;Z;6~J(;qnz!cSsT_{hQn4#%A)u%X{3sd$hdEv{Z~UX00#1Z6WWY2uOF4PTw1i zl`GDBL(jm%LqFkq_Y~SW%{~bkhJiYMe5liwq>v0}S#aS13#nYyA?qI$1i_koP|N4h z8o)*C^t;k1k~2Cq?$uYnK;JIO7Ks&*3Fs)Qgy=$cBKSJ*l<-XV;X(B&$MqFF!Ozt= z&GCHop}TR~A>RPM@8I$)XqCgjvKka#GqlOq;UW?tn`)#~Y(!viZ(ChSy9CA4!w}=> z<&c(p+N$;}@qL2zcSE2GOeMV^-+5V2&S-5;wfc=dqO?O5XyHXa>rZyfIDQ9O*1ZY4 zy=fby{cFb`&i=U?+k?)Fc^cDYwHc#%r|Y$8VjASNGBrTWENi~7oi$XQ0Gj5G@|sCsuaeb1o{kv1-+^II9|h>g;@QonaV|2{c&Re z7XP|tY%Jk>v5sF}`6;o8d`5OKQWAZ*?b~<9qOV@TsJ$Nl%w6`}6|zM3M~je7*IzUE z)ney(HpGX}n}t5-QG*9d#L(R{^~j*_54~9$v-+|3fcp*x9V;Wx^>HGX#Obw&Zpuys zw3AFpP;TFL+TI@DfCNJ0_ox?UOOj2*vqeh6Oe|a7S_N9YzPQ;|m>3eJjt-|yG@dMa zO)Z}mC+rtX&cm8eVnJgP;1Lb_=K4VS)`(CUL87zt1Q2K+ln(YmUc)5)!urIZ z?m-EDV7C**u_O#E#&eWzS74SQIVZ`94t;#IUVNV5z-fFr)_%4)J!lLnmTUrFAjYaQ z53^!?RyWa?J@DgVF(1Bnp-~dZOI-<+cX$mp^3o%{<%2&Fo!4CfSpe zXkzyRUk)nVd-(ZG(epd>tdgH0bzEb+i0P_Q?$jnmc?d9BKsh-{>Ux)LQN1xCpYOJlo8qo@b14hEL*Bp9-sei;4Lm z6$ikICWE8+v^zqLAkndyw6A6y&thi#Ht(M6r-$d(UE$CZuLYJyT93mCg@@gdtwufV z3bh_Avi$EljCx8tgv~ftb(d2dqe@Bl4n4k$yQx$poH((0WHeNE zy658q)^hDjdknmLMm%PfYw7*)dsahSKBYs+3fNpll1t#{<7mt9T+&fX-^=59$@xkow)GYM$QebhKtH%ZK&eMt zCb3F3H1v#_TkTb7hPVdg{U90R6mL-InWD+}*zd7%96_}DRg5G0P*vUagmPsmU8cu{ zq+g55##myD%1CMoGY*ZY{I~N{+HO^640E-ra1-r6o0u=cXC>%Wmu@W^`CY=!&&RGn zK+yY1zLl)$?dSeajj?qZ!!y4z8A-vp`kKySC!3n3Exa+7J_u5pa6~f2=8LQ?3Py7V zqd|L~l%CgUHw60h0NuNYUT;O8x1y_C(L{rWe*)p4;r{?M(_3?M^Ptq_W6Agw$;L() z^7^!P4)FjFjmSD)3>ysQlmIm$e2Cvm;#PoePZ8bP#qmE2kh*%FfjpS`3*N7^I#>T4 zveJ^uAzLbmH(2yTA3TY06K=7oP_W*o@#bdC&(c$;>HoI%K3-F{{D(*q!{`uu-Yet} z?J8X1A0&?VD$9Vt>mxl6hJZe3I%`@Jad`~XI4X2wB-*IMZ*UoQh1g&09Xp&izt)kh zhAkZx}N0(ljY1HC~IA_1+gN zy%$5;7yvUt&GBT4v3n{Cxf0{a8R2CuC8*T9w_>dHOn5lh6m!fc-?u)+5xTI_Yvnl- zV5fIzz21w@R9fppt>-=Zp_-V$5bLS;;_t+@^wwy5*(qWEOM9aS!F;AhZe_=1F{ue! z`GZc!Wr0&*K~4o`lIwTt=5b|OjK`AZX^cZn>Y->$%`>i|ph{{TCB`ePj2_ipDJLtF&2QnRnL07qmY46e*Kr1tdrF zw;t?$Le7fN+vu(V#p_MBNn!yXyK~%U^Pj_9^cKzG5NvN2*H>fIe-*9lfv!hq>RaK3 zCY*n=N9}Q+xOXd(DXBVaN9;{)Da+OBLrYEiUhOh=eCDRA1QH(L>i|9FXbXY&e@Emt zN$HyK>mT}RuG3Vc@@)#t^z%ysJd9#iMM+c-@uhuJ|AAv(KBKau)Up@gdFD%>)y`L@ zu>UBB?dn#_>`=pxME)7Unc(O)XrB?e)#L7KMcI-cXGW=7I{CG))%#_>SwH)x=^Xt- zoWhD8b?tWHQf$F2=h~-i%`jH$)-PAo78WP86rQPVnrfqyKdGb6Mm1(LOzvWlu4#ED z=W1b`+O3Y}8+w;*7&B`Rzd|eBseq=-;j)jlA?K|<3xpkbd z-vnduZ&=$_-TtQ)D?o2aQql~dLIBY>KH7P=BJ@yL`Bh|0^zzH%h{oJ66M1G#`A?1w zcW#yvXpwkJ*}l+fqa~hhCoerM)q6u?05ZU^W+rBjt0ab*Wo&()ssa!HI+d*Sbf_4E z3NQ$IyS><-)s%jT;uU!*$zehEmx&MrgQkq8$#q@+lYB04sTOc6*sX$)BG8pGj_I}; zQ^AueiL(w?fR(HVShsREfaUyyEd$t2iL=P~-+T}}(M%gIQi)E{+c*|2>mI=izZ4PF z`^3}`y!2(7PguN8;qJJEeO=shbV6M8otX4+YUT6)F^L2gCqs*Ckw%pWxWd}6e0sZ9 z8%^-(AyP&H*W!et8w3m|h9!aF#M6(!aN_k%OxD3Ls2PJ=F{mAbI-Bj2H10HkpfLmk z4(;xBx^?<>de$$~iTpR*(>NM+w_uAFd2#NsOpMm6sZm`UBR!%NdLor&{j%>cL*hR) z0-)$2E6}$`zNrZc_Y>qKZ4P||1rd=V zc}6flqdN9Rb5y9;Pp+>dbczC}a%sau3*U*ME_~Bl>Ck1-8j%{|8c`3ogQbj8Cj^%R zS?6BdvU-A{vveQAZ&pnUW$dmQhaRWVT1C6cYF+%LaspJTZvYjO&ILNpUdaG`d3idX z&Y2#SfW9~tFh=Ea62|o!r_Vv_{lG{>r@B!rTY|B3*7VKmE2N!93G3I76(>o%KGSki zJ1-Oc!OrY&`W9Sb*S296~io2&_*V~;w7fvowoJ-f~^uMV&p*Vh#ANijteEZOl z@{W14iR>nfdE*!h~!D&CUeNDPH^`|IuQhv5q?jNt(H8l(*BwQSc87tGC;aVK8 z&M2T}lj3w3SXIU^JvD3^BNs#sd*@|0Qhz2-RND17Z?9fkAw~|%Sh9@-vPXJzV!b9~ z)j5;Zx6C!<8*SzD5}XTK;s_HKn1$QcVL>Lo_RQoUm&@raX81O7IR_Pf8z`FgZ3Q&p0l2^TTMz*P5Qd zJU`u|>>&;wLm=+*bSS#=<=G*+s$2p!?>5$no*uYF_)Y79(2`)4=^+r>LG*GNO|O3D zPA1>FT-Wg9cMG^F=l9R11Pby<9r$b7_Po|n$~r)N5UHb~O(K)qIvT6>a&hwmzd5;@ ztqA6A--2V_Zr>`Q&Tw+n+Oy}EA4Zc>b&4iE|49r%l7_(fE9)Qlk%m7cf(w@C&ifS#JAVMdV93kl!R7sn(|z>S;cB&UdK0WI9Ss6?cGDc~ z?o0YznQzuqE8|~LTQ-b?EOXmt6kij`q@H{jl_RoBJt-NNBZ^2pIhd3qDovgFy;sL6 zy|vYI6L*f;|h-{hvrH7y@h#Y7P#~l~i&-s=&ug1sI z=V4&A;|Hkn-O{8DX%(9XxFTR7)#JGj#p~(Ag3IvDD0EcRByS|BK8@RNFCFD~62576 z79O+v@cFXbL%Tg$F+@gS zv8#oIx{0H<_PfDh*eM9x?8W10aZIae zdm&h*22l({{u4!=M_W?1NZouI+#*iP1LhWYnt|hoT|N|ihlf~62*gb#pX-l|5@0D( zkmO#Gy65|?ZeXo%w4}dewxryI_&eEmvgdZ$vDvuRZ0%e!YoV$JdDSf6u_CN5fxAxZ za1$Fy3Pj#(8;eq626{|0>0XSQnir#<`a>;>QDgnas1^B$4g5MnK6nXeRuFOh%WkE57IoBr6mDCWqa#2k+wy#!wux zn(3qHt*3tEotA49Y$D*H&r+iUXDx8_F?+be) z3pCS0x%0xs_54CbziH@}y0#~H;d{$&n=O~=gky31szkV0rzPB~M9;8Xt0{AF^8LMp zsB&={a~KrL{Z^|>OSwU!LNCPaXRkNsa-gR;z8z6&{ZYPxeg!DsGE~3tDw}0m zu^GO#tRjOk{bI^gC8aBunlB9uZwNI|0Qj%ltn?n!2ea~Q4=LPVkHN^%b{RVOb>$T@6b6_T~A>_0RqN-FhQGlU4yjx4mHlC-^FFt zX?GX0XWI_m>q5GVHSzN5hQN@-N2QAG;-M!Cbh7=+#VVg&#X-89H>h>@%W%ftvhkPu(G``V5eh8PD4>4(Q9>W4^oOm%eQk zmr$MBrre8OBHQ1=_gnt}hoizdwXM@<<6f{Yja`)|kyk#R$(+%osZW?h<{T3_2ai`J zj0Yy=T$1k(Mpd6Ih}k=8e*u|p253jzNzsTb>iaz9?F#I>%41?Z{wZ%hrKiOpBIyD;Z$3K#A1BB z89h%L^~x;@zX<}lTucLMa_>=|?x!y4*~a5}OU{U;&GoS4R`*Bp*LMn~c;1e>HL{+4{1xW~p=T08LvzMVQ&(p%y{UmE;fJKV zhvwhKA1DFFDbtykMYi;A${6+t!;Yx{Y{%D7V5N0}Z)mSCZp_&3j`R6xVyD0Bg_$M? z{;g6%R6dgH(s6Jxs>Je2c48e{Hl&G06|0slrMG}Xb^?8!OE34XmrCRjmg1%uq~k{X z0G8Fgx0?-3-C3{AZYZq7>!pLM?&}TN6>f|{sPiTp!C5J*?hTdGNL+8aZQLtjuRz}t z0-~^aQ-K`d2qn_6RFK&h*C!ybLSbd_Da_Cw5jUyg0 zl>2mP$~~+`Cb%n=#ii#v+3CG8h_~%Mq*4p+Hf~E|^Xf) zIh}?|HYwE=Zi8VZ#TzF_^HGJSgYzR`3wD^CyKlSoazZ+s2nTs_N*@Mxre?ize8p0N z9-b^f4;b%v?z0cH?%pe&IXUPlA}Qwn$eg5Tta?xGi&qI{h}Iw_0eR`KZY8hcnV$1U z^i{yH=SAvCp=cMu%H1cmXpd^ez2u&m!&VEEMcUFxRjlX!_il@J-D!ahb8@P^3Ql>1 zfS7e4Ts&KfRS>&)e(`*qgWuLxCtXaMjl#-q?j`7*IDp;`!F&&F>q^9uJ=wv*^Tn11 zXmBFU^=_O}VKaNpSv9ps zt8oa;-9Zbh-g3REEWtXcPTa&D$;sK}lR1fx*;6;b*9^kv~Agm={+SfBw?7G>!%NDVVr{6knX}OF$vKfuWkSxNNMFSz&cRq3{=RlRZWcIolR~W z#{++$nzomfWolRHt_lDPIANQUtO0S36aiRK+ z;ln*Ii;P}bnSUQ!3#+_fE~4JFHa94;J+@-P*bLyxm?;x96*Fa05e1C9pW(_YA!DJ+ zb{j6%t&;QF7kL57(AE!n_HnN!JObe{cT))A>CDq2G&%E|Cu~fl zyJlw@!N$VEFXTUnQD5Pz*rBE~siAt4_7fHp))S_H!2%>=6RZZ$Gu{81ImU5#)fcpM zo)|OrEO_2hd5S3{;=x0*Q@kaqm{+$F=Pm7JukI_qviZQ{5D-Jp7s5OOr-><>v;-sqK#9HfW zr-tKusq{^!3?mK7_fCl~*@jklLiw0oi1;)EA`W*jtHa_s@H}_d{AS@zvM$loPhcqg z@hD(oQTD)OQK)8?^DrQCe5*BkJ(XWSCkA#pZ(lC&tnWDyYde7V0?j$nX1D7mF(h!oJ|X7 z<%vAk?hicDcJ9f}6UrF8tnBM;=T0?!JMv^NW6cn|<<3o$fR825Hwy>>mY=j|Of>g? zKj+qMpL-u491T*nZKNtCb*38|Ll1-f-WKr>z80-C;u>@jJ)O5{e4A$HZXK{E7Pdd) zg?K{)OqK<7XfS_kG+epJ);aEn2HUjQHpaF;n1fN5R{-kconnl-U`O*^Z+fFqKZu1x6wA_2~j!<%IAl9^!XuR7=r_iNxT*co+YRd5H^Erya&?alrXFkmB36|p*SIpluQCA|`-gnT?&nv!8vx8RM9-J@Vm4{y} zUVB%`GDm(>rDmX;wpKVe-k(&Coqwxv5F{^)Z!LcS-`Aj}W9Yhn{h{RJ(bW`hTFcq5 z>-%>u{5{9av&7KhQudpM zSmzST357hZ=Fg3>`sB8MvUJ&aPFPv9j2{WZ-I5o?xTaDmca{5;A5kt=sRmBJAhE}n zso@~|Pr>{KtEsNnH}V_Wyc9b9eZmhq>^1roLrSMLc}Y!oevT@(u*k99N?esz>S9g4 zU)_^)yO`%ZoN4oBqcDLKKP*t>6Jtu zZZhN%I{*_3-MGoGj@6&}qGvmMuR43jqd3vmC(E6^)#u|AK4&X2r<+W@aFxriMv@oT zuxge4eY-l+gY9iUBUsb* zX0GntUPX$4LWYp)Bp;*Zt3fdo;)-OrRG|gM{^Cs<*a>_Y8eWi0WlP%gHd*z~bf88# zRqUf}M*gwM3k5=t2I^(`V)G`(2_s{pO9ETuB(GWFYS;OulQBi|iggdtX9LAqm2ohI)rG?W28=yUPfM zIP_)f760d;7u@V*UraK8cPFJP$M09ba-3}S-rel{s_IE~MdT@sT(P-pCE?-mydgxu z-Gdjui}`W+$3PzZ*9cs_+W*DaTYyFNd=KN&NTbq-fYQy964D?b-MQ4#-5@HggoJ=} zO2g8ff-Kz)(kR`Egb1SVte@|%fA9PMKhN1SXXf5Jd+wP!XXeaYge2X??=5W?w^#xu zvuFMnlfQrE|JlIj8Gq6$`DgdySN`>MEM1@9)#UeI`R6|sZ^**;@|)B*xQK6ZK5pec zIBOC=gBOp_|EVGT7)v}ADjD?QWBZ5aHz%ix)oUF$&!d_iD27w}UijkByq#>h`S}EF zS|oZ8&YhJ$b#*cLeH?cF)ny(8H(y;u{;UwDd~D18cy(9!L(uib0h5`#KjzSHt-dql z!T6K6R4QL4*N92?k8auxz8zFqb)Dw${E7LaI_~)G=6XrE<@#K4HOQ{#I?#Xq;rSIO zF(>IGI+4ShobV47p%s~W=V>PGeA+cF$Suv-`Co2{w2c|qQEprB*SfnEatmT6V5t4)9L$R^_VL1*H0@|sS5 z^Vi)lo_Onb&l0XImf6tnOI+>YOh@Ov{C3Gb{PDvLgZWGy_;gmGytahJXT%lnx4SjJ zJ~*A6*7lAMZ|`?^W)hHz>k46K@6%%hvMI(CvL7^!pS(Aqc5803?vp@PT@H^w_}KnC zd!^Bp3G)7&%=mQSuIVr5o)0%Ggg;(7bvrK;#=bc5_2qmS*nidJ>jZ+|O}?3bF5V8W zt-9YViMfE3wSBJ}GX$}dtL29uyam0&e$TV2gbDm;>PluTEhr@nJYFN!OE!*!k9L9f}_+X@QJsz$uxb*gfs}cdfzk-jUV)#X#9LKik7e6Cc7F&PguMg zL3Q|l`+R~p(JPsuXuZqh9sq}q!3~TVIvll6|7%d3y1=%fMB1%2u6;K{G@SSU9fNQ zbhi6lX*iQEu?jFUE3#15r=#su8@#pw!hqL}rb$WU=i}z9;cT1}VB5Hg95iBLL7YG_ zW7T%<-^VaE-6KC^4>`wJ2{A*QV+8RPX&vBPS*jM!5uf#@0u2Dr4X=})nMb2M4SD)d z?tm0lK=s&*;sgI=8O;>KFsH$h(Lt#VbOvzOJtkU+HVx&14;9bX{tv~=oCldVWA=oN zt1Xm5=cQfC=|WQ@*enmv!qxe5SN56K7xiNR4j_V5XG;(L3E{4+6}+|bEbyzF;P!F$nI`3~uny3;)+>C6hV0yVK8 z%hQ?7#4ogJ9n!&a{*5QKz-0(n@sApd5r5OZ>&d-#lKGQZb@HIxay9(q3@hz2VWjfs zEj44j?MJqs2k~FN6gw9Y6EeZ%3Ra45ab#MI@X50$qEd<#y`4;>N01p{2iGQcc2kx_ zPk;DyKbH9Zd2PX1arQD|w|a_=+M9h)oi;goLuJ2W+HGM^;Fs59tVOkAi;8J`+(VtP z;RLSP%z)kWBuX{NRsf+|Rt=KvrIQ=AE_J5I6n1g4kcj$Sv4&#_mWeDiWSe%4Ord3{ zaxY#|BpEvy2atOP3oFX5c%u${ks@+{d(*ac3D6=lt-V2+pbrkpkH2kjnW~<7MxEUH zv|CHq-e8}ZP-Ugyi@gT5A9WXYcF6Zmv!QS3;q8g1QWDiETKL0Y4fK2~3Z;`E?fpE~ z-cq@RD8Dd6Z0;oeD;Qedn1R$yekL6+<||qr$y4sKkG6NiizU>$tz;;c72s3+3%g)6 zOADP`_l_2N7NLM&`fx3awimaPuoJ{d(1|ZPt0(CNO_5{k6j*+C+19`~J$6eONM?5C zW3tz%{V@H<-N#_~)ms{K$GieN<>EWfp6chZ398hSAQF=3E3O?lba$SW5~a<&s5&mI z(6N%zia~oSi2Z1mG7c5t$N;IJUV7&j7zv}aVB7Epkw+U+-TXuXzcy8@Y3&U9g2QY; z@YuL}YJocW80`B}TnJjRVwK{zb;*&d(RAg^g>Z$vzQc9KTs=p_4Pm!ut}jmUPg(ec zkCdGleZA@9G8B2=sP3Fd?aqxPEOa{SbQ~^ld3aW}cKu*vLNb=?z_1NI_u6Q?a;7yb zxrGCm6Q;7m%SnqGT1>mRo{~)=MD0fVzX3$vqe&3Ih!56~O5wdxg z^o+{ZMjHk!g?{|$JO1#gCwG+pD2ePn%uQ|=%R19!^|%4bmXnN{FfLoLngw;{`T~X| zn|5yN3R^~`ht|Y9sSO&BMZ3LCozSbVf;Q})CaUmat1c}gVv-w=jab{P%^51o3;Vy8AtxSArUGM+$uD;3p+JB)(L*K$XIw{Y{GGjw%y zZ>>}PxCnbm?w%%=c_u_Ymd)RCmyNGJol;1NAc`q0=x#U79og?a6WW$MTkC}d<180i zV|8i4?TLq-Z`uL6F=NeJ!LrK zK=Keh(unZ;Q&tD>AwL(K$K(x9<2WDyM|U2%`?G|Tu47>#C1qk~?{ zR|M~+Nvfsg=^-Vt(*f=^E^2!~mA2-#@NK3u`2F+2vw@I>&S3u^3E1R^U$?u0sUooz zo~{shVyLhcTCf!gs1lL`I4s=kl14_Qco5Y90W?5oP)$w&G&w+in_0tXim=(eXZrYj zjaNS9yNGpED5KTUz4m-zzn*sCj7M78WP3(oI1T-S5$=K#bGYNT-2fS-w;Ia#M0Q&$U);;0riSB9TNR}JJQ?BwjtOT-JIk8EF z`a4{5jZemy+SHEP)1zjhRqn#WdVf$S_vBbSC18K+_NDp}Hld2;#D59`*#!CQfVp(P z%5u*yNx8pF=doGg)CqgiTYZGr2j#*IU3S^suAfS9{@2{8u{zAMb{71v$^R87e01M? z(OsXc@`GmEx6SLL#j5Gq5Xz78$${nSn&67-{B>K=HDNcSDijZX0SOlEd!t?DFh*Rf z&1;-RO&L%JLKd1--@uGV7rxWXZ!8jes-IfUKjL$GN6E*^CuqPcXaEW|g|n1q0BXc` z?0p*7J0{Cs7@pH*db}m@h=DOKE$orC@u)z7R^zOyEV&pV;_NlE8}+-mJI$01MGR4C zVfVHJ$~Wu1>DXF43g7ALvy1u_YW;Gmn?sZlh_@_vCcufrTTVL@PJvCC6kJ%nSe#-R(+PW`q`Mud&{{Dbaq(lhG;P z`8rXmTNYG(1xAGm8PYEyuSYzhPnJI6*{o`VzZcR^l;+ zqc-+@^dQ~K`()E&I@n*>vpo3y@-ug%M&Hb{Y=>H+M#@I&?G9IJT#kguLByyU?%p}~ zqje_aYwkx=wpZ;cWBqHNKAM4zK5HK(&HuD!y{h!hqnS+FmC-4?t%&;7lUB$TonxO6 zyZ*YOp>em;cD=W&P~)mI7Q_CbEYJ02Z{_N3Q`U)4^#2vHO<*9Thzrmq;_%L-*#Bqb z&(z#n$t+DnK)#;q%zlhfyJnfN!?{|;Dq>cwA)r|A>&$+ZQM-1T?>~uMVu43lLDyrr zZnl}tnuj#K9sgG(T0<)5O3(ltTWPv4Vvsj|44?aWy{sr(lX&mpjJE50{5~lCT=Y4c zrI3ikQ-lG30IxRqklr>9e}D|Ef4>iH{^hMz&1ygvQOf=D2yYCJ8&9sS?e}2i%i2@* z9{M(F>u_lw%Kr^*mSc;SNsl?i)lvT?ea1^yG9)jG*4(GRHlK*~fTEsw#^nVMb(uIP z4ER7MLHF^pGPa{XKlY_(f5NffRJ*u69sz|yF@FAZ=;U74t{2(fT=+NFN!Q(bwb~UJ*^|kb^r*3~s>4wQ{fd1^T?zu;(S>;3**&Q>6FL=(C zxVRi4eMZ?YoIX<%W(G%8m&TQqTIkAGln&`8wL5Sc2pW}JR9h{jSZXumC1Ir-e}01h z+$k7~+|IY#-1)L>^d@QE8vaaZ-da1u$sysHb1mYTP{Ux6(83IJ#^OJTWI~1(3ICu) z)7+JWhk0M`o&|+;s89TOdLE<|^j>F;W%j?3)Y1pTO)nd`dEu*5*a0duyXHonh?2)d zo?lYEEFh+c8i&4N?ym1>4{bU z_WSOKKbT*iAg`w%Db`vo&ks*9%60hjU?h^fs8ec9iPwlIO8zvluW1uVR1;|=Qneq?^6U_+EBz1HdG0&*^EmT##W0|?PRYYI zeshd*FiZZOSkxn5@~6l7N?K1ZBaHYwY2+PxIV$`L$U3p>vuWM-?U`v;y&r1#V`VbP*K6&*!-6cAVl9K0Gp;F(%%`x4hLqbiB&+#a ziD^wFNqHk{p(XBh+&M}y8D!Y<4$I}btXdneS=o5%AF4kj#D2`u>l8J~==j>aJa1SA+0Y%A?ri68%7SbHQSI0BgV|yh(3t% zNRZ0yvZ3X*zG~jOgr6PSZw8@$eF!-}o9D-fXbIqCU1BEb0TKLyfw=uZ>Di^!cH4{@ z&5d3D1Lw2+m>2bvu|ijq3Qrby75_;rKdPGfq#RVCGp4*%Zt~2)(8J8WK54Ii=*-II zDxRoHX|#s_#vtuQx#|v4a1rHKZc+!Sn*}LKvwezN4bU_#K z;r6c$`QtIBSE&yjQtcrDZoKuPDV3eyqD^oyx*;BhgaiSDf;iQ^yu0iakAZL3jBaHm z@Ey;r%zHV?sULy-wrt{xt!-{LUq-<^h#%yi+rI-Xh)|b%FiffvfAJ}_J-}kkoRY!g zn|9CKL*_J6{;NA)&M<0x_(@k>J8v})!5@5_H=(kFU1+vns_Wgp8Hx08(c)4Rnc^qZ zg+A=+BK@Lz^d4~o>5r+q;SO3)J9=DqZad^x#5^|hDa}Yi`-iR3uBuqJ{ShQ+U3FY^ z`lAogg&59t7W`%m`NGySnCE8p3%E2C4UZ}3Tx6g$f`4u&cpS^)d?5O^EJdHnoHVcusQvLX(&Np)*e5|nenU&PNbph81 zf4<}4DvW7&=G1LwX>y`ovG~(I=nTzwlH?uy^dl znkRqkY+y^r38Uy4ei0+4wkEvA)+x;5%7;B981ak6VXtSx*K%^V$Ij1EK%9>{o03pH zI*di3oAV>v6kQsYsJs(J-j_F%-+KH!EX4=;vl$!dP97ZuM9v3{d3rLVVY3YQ-%h>g zXJV0rx-DlK1|y$(W@Ez^6Ut^ykrsw)#sYdF=*opEI94FyGSd^ zgX#QM((MtIZ0LZwL`1#ljXrLNp?4}Bo1FEyg%WN@F)`L`NzK{f`aIgoP7rz9aWzNO zTZjRf6P{|cm54$}V!Iy6oq|L&(r&&x1+>9rH3%gvk4xR2IkA`~1zHkujUaorcqlU| z&g&dSIRcW9Y_c13+yK_=7jgy+yN3Hmh9+boLXK5~p==CxklH?`of&AvD^Xb-S-H`l z9sCNr<#ewY)@|Pqj|?E=naiF-6^P!J8y2F=Ue~H&gRapv4g*X+EF6k!=WFaJHG|})Voi4<{=m`_~SM*C*^rQ323aoctBD!Djkd1VLRJV z9#B6Q^3Kk0O@`Jp^%N*ewQwL5jVnsea)v0Q=<%N~A_Mx)(1;<=(4>S3*bkKJzVLx3%@ zV^9_CGkiiv;IWxX4BLdh9FBhg-tBkt#-EXq)$E8YJI`ciSTdFlZ#|Pr2{eu?ssuWr zo2-eqTC$F zl7!lM9_ye(UJj=wZ0){##+?*%Qw*=C^&y+fu};w`HqJa*B;$y z>(H}d^AoX09@-h&_gx&=em5i1F6KjEeGkQI44tWcrLG3%&zN`lnr6NR&?j95>j)DU zP(P=iJ;gu>(kVG#NEMkbUaiCvV$tV^BauqqxGD24yCU7VM_VW=Axj0&4 z+&XH<{CWlI;)e#3^v*XHKTgpQ%yZwB-!k4@HGD|USIS5aTo+`P+qvb~-5=jzu|2Tp z=4I_X|F~92ht`k={cSlRnd0{1a#$J${OM`MD@00c#Wj34g5L~{Qcf}PgdIt*PeM4o zCGX|T_-L>R**TNy=>JeP{>sg%n0bn>Ia#>-9#w{X_$`Pe2?ORx(EF3%2uA3{076d3 zhSU$K!xHFDwhWUqj<%bWMFO;jtY4#BwGpK!#1H>rNu(6x=hwgeid7uDI14^KhP1c! zTNA}Sq-FOZVii-&%R>6_Fc^B{HZD^shahOMw1x4CT+X21Vu9c9E)jCZHpKoHrRyD{ zyc4Sr@gfoF+~(kX=OVEWw|t}=TJgij@Nwqx!@uC;9N>qa;p6+5cFrZMOYGyZyy4}Nsu%G`W!l;A)cALkmSRM%1 zbWckrPRJ916?U1(Uxg08!vwa!Np7HNPUG9i9g1<5-X{?wv0O{4`MU3AcYjfCk zg=_Kq-5mz`cK!p=>8vrYVo2LUTBT>vLFNM0xL1P5^EeX0KYnQLBu9Q5@_o_*$!{qb zaV8CnRwc>vB8}~SKmVne{9T^IZi;Olii=P9?K?91E%)@(o+qT; zd?CAbPiPg;zIkqF?qWIo!xBo7ZJYA4SRuOy+zEsX)c8Z?`nZMKf=Sx? zuXoLpJoTAvO(0;hcN%-zj!rdvADa5mx&V7xtpRoq9pa1}xHBT2qFr2W7>xL{9V`}E zU$zx5mS=gNQRwn3_8(KxRfxzVD8-X5{=@7Xd&d8sA@YNK=mK z6YX$>pH{Hy$4k0uNE|_?C$V3(q#H$#ivib?tbMAjo-yA7r^ z#vetgMnB1lX6*G*O?FP$KqNyL7O7o{!=wk#?@xCt3+ohkR20f`XvtPE39zE@z+Y^F zkfRNp;)W{FsK!pYA_eF$r<+Mf43hRV!(Q?}#F@^YEl@0b8tbtOyzhA>h8pyE#f8hr zhAx*m#a^ZDa8H=)(RJp0ESGqNpbbpsMb>j6>qn6SK|I&np_CGQJi|fc(8agV#Z{;R z%gC^YCXK51osoux`Q)I7`i)@hIHZZ%LjivHgwmHc6YHvrod7KXMEeGXqB?Va(#D{M zqUS_PVB~U;)J}QRi;4R(!x0W;cl}k-$V9oA$?*<4G3?S;xe{$^a%wi*)yx=eYV*dF zw6F3+VHk}{f99e;*st^yTDk_EFs_swjb+tEm$!34++~&VR2fw!eVl+WvN=ar#lkHL z!PXANgnL#rLiiq8>k$@(;bJQe^%zlwSEyDX>5Z8`f$?P85lBX?2lg0%9O5;bOgL*p z_1082lm`Ol=D|LMx^XCDyg@nQ`@FFVSagIm7B zb)S)OP?kHeqamTX+P4jq`P4|uhuF=UaY@UKgzj^MfKRuZlhaZhZ^smM;T0875$Fw6 zghQ)kTqH5!WMc%?NGMiaL3L^&NRwT7kdNC+^8}}6^E!OFsy$Hxa*E+OO+cBLwgl_U z8Ch<)g>AFlfyK)T@#TKCaxG9av~Q$%zU_m}`=d#LpNOfY5bZFpLd@xweUB1Z_vmx| z*XRtF$G8|WF7)7lWod555a}+Hc}(~bcb^Z%G^xiZH9_)_t|PAj`=<&Z0@^drJ!&v? zOFz-CLPhCn7YSjTpT9w;et@zjB4!RUA5!C!XXdoN+z%$U;Sot6xUWJ6$q2CuEk#*-Qk&$d*K0QsHWgH+uHLw)h)a|a=tG#|E*VmG`d zx4b5|$IWh2l&(gk7QCB}EZMYU3>mdAZ(|QWW3cDLDu!0)ut9r&An}SW$q4iWd+VXc z*U?V(`Kd3cVGIxorx)ZxnMsQ);PmUJOO|adKnAZAL8Rc?~QC z^Vb~|Mj?B%#~;n7>^>TZi=*Z;g7(pJ$$>+b_a;euOY)PX_kU_z@k(X zNgs|N!h+KlE#4#WaO$JWs0l}ep~OK~ghw$lARU-m>jknnsxb_7`^CF;zB_6cW$1+q zv)-7uQoccc3xe&@r%s~vr;SEKgt;|$#PA}&&16i1+kV41y&#KiR7We#)>b~Bw-rp~ zsR>sa*LwYSEyWi&6|v#JV50n#o8wzb_H+a_%cA1TqKRvKzc%q@11S0`#3E0LL$$&8 zJA}NdGTggqewZ{1XS(!FyA~-ftB$w0bM$42u^<0l%DHtqWXmf)`{6s)$nytQ(PjLp zpUC0Tx!VoB(1~n+XFl-a5}h9!n^DPBqVpIdVv(r&(l){v#5({Z--AmxhL+vOZ>r^a z{?z-+*m>yn3`IvlYhutwJzKP zfVGL|fswm98RfDp?!Te%i$)lII~K-~cTB!NHjlPM>AHOAw~;(Rb8sy@_USv9jQlot z(&PuR6rSa-x{3Q=nKibnUcyCV6*N9ftNjjw8i<%PWlwAf=^g{qJo!8R&Xwslcf&Uf z1Wrn(`~Z4wh@C4NB8fy&B~XP_F5-f`v}b?H0Ii+LxiljC9$lW2w|(ARR{Pf2>bt7J zvDNq$N&>aC`&0z0$);2Uxx!*~{GTLYf(!3iv`?Dz?`G~{+CE064ZX`I@hH)CFTkTfgW5qshqy<0)sV(ZWe+YTloST=HbwVlqqDxxt2Jz0#7_@aAo1k>^n9ETz&hR9WUtG+b#Fe2= zK7AO*zj7;&V)cg*R5yQ(xF-Dja2rN};Acubp&a3zV83B!D|OtpI`bOR6BM;2mNdZo>K zUJRXRHe|rX=13(AfmVn78#!7|0($Tq_B#a0^LDh{F)k+5E6oC}?)F|AQ@eeIlcSsz zm~ahP3q57DRWg1EQsm!BDp5PyIunwSfnd;6J;Z?-fQrl74q7L@;o^vgH1ET3LiM=r zLs$GTp^O`6(5l-mrXl9NW#;2DC+ zh5MTX9%wBg%TiDrZU<{!S<=H!bXc;$JtR@NEKC9wb+93afUTIU$?6%zZ*|bDQyC%nOACBF2 zx@3!Qe6fS+^Iriau(aDwyat1+PvNEuW2I4BwD2p-CT*HOeh4Y1`6a^Y?G z-SAoh-cM1m>{*~IqLbYI1 zkyN8E3r`E#ml6`xNk3(06_nOuX)lBzoRB(=Pro2@0-v^RU|jT?VE6wpp$&sw2U6YY^YA* z^+E_4kb0_BBebFg%Tb8tN7|gMG?Lzhd+?R?vPmKuyt#!F1KZux0vnWj-PR%jcnUVW zMFpfWn#>^p3O;@G5Q0*4H7qXDP+fS*z<1`q{SCrx8=Fy{`jy9Z93!Dri@y@-`-p}X z6IcC$FA)7Dus7QvW4O=<44QM>{PHBPSJQ8YfXUDE!x19T{7YNb9a zzNtUwI9PB**s2pXrfxNZ{=oYXx<8McsevP`aHfe`QHhJvaC;oUiqwBbJ}FxwzlBT< zneWtwIMIs@P;H`vy`;e<8C&~tjNWx7G+G4##N*mQ*#E$EV}*&IamzRIIt)fHH0}@o z#2P)mIB#_=4TUz@l?Hh8p6^5VXOTH8(SJ}OG?W&-6Clh)KEeTuTVQZxz55pt^nGSu z9@1@2Ds$t||DspfCI2e41V+Y9DJNLwhNLew48_MzNYibG@}?Pv8jrG@0HHpE`Je{p z32PV*7*27p!+?Yd2tbihQi7(%%Zmv#V;Gn%OilL(>axQ&N?lIF`I`vJ@s$%uiKZnO zC{L^Uzk{N-1y&tCu)Cl>7AXCkyBsJ7AQ#UcNF!9{bC#peZAQg^CE@-rnzbDOryo)CY>)=Sz=A!uAgkp8pV+83w!E8T zos9q(rH)?;{|j8VOOT&`!`@O8tjv#Sg3d_fb%v+|kXX1IL=jaTbD-dkXxcHC)coHs zKYxPl2&GdL!lli(<@cZyDgK&|Qv?_!`9bkD= zFazXdR%b6j`5z=o1Q3u8dU#=Q<$p#udV8ZOC?IAgBYw!Euf*2t_xhq7qbYt!y$rhk zd3})b@x||x6SJ2KM@7w>Bw^fOQ>P2q+=)6~AfVw4wzm?INfp)|1@?ESWi6=OmkfM< za=CvpYGsRDX9;_ZPwvV$V^|&i3XsX}6B@QieroD{YmPt%0`Ix8EI}eM$DQBQ;aLry zDKXt+i!Gn}ENqXK-8ZVIl?E9Jz2~@F3UbF5neqi@4BIx7!X7)O98Q5uM)>agkdLTN zTL4lRMV9|1B^a#P@@e*?wIcVz9_J^%Yt0>FEv$Aaajk+V>&@TyQ5XcDsvl!DboQO6 zVsbnt63e&d8~zfWsNXwThD(8?k0XrZi!)ASM*HEhpXUTtq@|E-)~v_=mv0GeSuvhO z>(zDcV{)`ULSsK8y2tuNIf4&uj(RjcsSM*q=2oU8d*72a<>4x03u`qqn$schZWqwk zqXo>JlZ9ttc_#G&ZcQBB=M8!FO+YfIW0JDx$%;n)p2;iW8N0_6Uy`=J41_)AVHay5 z@HW%A@T79E9^QCIC|J9dI|$N;+V2pF@eJL+i^4%Dtb8hZ_<@yhx4I5``vN6lH`fwC zUWR4cgd`6WMUpdSEo`O3^RfoSPh`MueA1OaHOb}&tG}=O{Qvk}UmStOaS2SZP_N&p zBOJ@Fcl(Fm$EqJuY%5;ipXUFPA$U`ma3}rbgO{cZBs+yj*{<(&O5*mYpV?!U+>^z_ z^f2nG(YN*`CyOjA{Q7S9eeQGVdz_c0d|!N*TQqpG1W!(9=Gh`+mNhNm3^<0T!l)f>G#53jDmb6gIFu*JMgy*`7HG|NL~Z;S1d_Sn__;MsR7SWlHp87V zcAUmT6R-Pf4PS$Yg;XZOsE1)_;Nb<%C#Z*eZDnH`l=spm49f0h-v;EuUowj<*)pP0 z(vcyIn#P(5FbOY*1195iNn~RPYq2d$YNQdbNT_KNA475h$$uA+)R5dgzQQh5yYi%S z8<1;lt5Zph-dr-F;M~wqZ7$$nUub3^8Q&kUkZdC^W3^Blp$OPZ{)XZdoenT<*zr(c z%2sy)uvgATu5&ADdho2L(QShi7NA(_MS(aU|1x0fD+nU8U za}F#JexH!p@JXZ^%`ku(y|u;m)34s3a>dB(g7w z=UTO{n;B?aYzUbJNj??O21l$iQx06V%&a=bz3Mv8xkvYXB+fSj_XmH>Bz#4%tZA#S zhWJ#_w>A_qPo<10+O7NU1-ypUbKNJ7ZOV7{5tGfTTX>t;OZYdeUwr!)CL8a)yFF@W zJT!p1RsOFOWKaUZLXn&g{uZkhssOy4N)ANqjl$nAAGI19eX{s8I@Ph*F!J}ZEo+E0LN}KbUH~jXdUDy>lYV3AOzU)NI zMMapqm&^2?K|mr=@%_9^(4!D-ia!k#2XyaSFzGWgj3qtbRr`YKUeF4VX(44(k=;q@8*qwIf&nJPonRG-VX zU|Cj`;ZdOi!VdUht73wWPeoM9*>(7HFi_4ot}5eVpQkt736XB!u6PaBxixs!l;>6A zl}Tre@pK|{%sQ+ZPVbjxeKk0G-tVv@rZ=f0;!j=xbTm$dU?iSl1Uj6o78eUlN24iI z!PPpf1j}BzMjYFGQ6(+cB_F+qG!gNuJ2kw6hBk+YQkHn*Wb}Z_}i1SzcDUZgw?H)vMS5s3M z#Ne0rVR;N;V9#z@;}Db%+Y^D;=Z<4B1|A~2=>E;%bU+Z@`B$_D740_2_CN!Q4R7+6 zIBMh`m7xO*(u~>si=(uO8!H!iGnV#@8crEIl8gW)yUt z-WII*cU+h?TC;{k4*L+u4^a7aT#TJI_-0qNpFTgz+HnLrW$5w?G;EH|IecCNh9=Wj zV9I~X66SA74D_}+qnL)v>05zp8Ehd9%19vR-uKw^Kc{bry`+Znknzh`>&^86@s>vh zBaIA+fsAYKV1x1@BmfGFg(alB2Nw2bl;Ca#6|)dT)k(aay_hU=hEfzk^17~RB#}|w zS)-sb(N>66OMmDt4bUY;0y$zGNnS4t5Ibu0%xx4#iX%`;WeR)cbHw@(2*kS(PAnv~ zugd9Z28cYcTVC0fd2Z(nEEm>p)k~9bCWI2pJEU<2vT6-mPl8zq;$iDv*?6O(8L`(T zHp&gM5rBM*A`4|v-g$Y{ORfe|(8A^w1ou5VQ z*E$*8&1>Z}o_$@yJ7a)&K(jahvRRnE<;LtV`1G|OGg-*epZ6S4eKz>7!b80AZU#DlTThjk+33&Em zP*x5YTGit*7cG;L!U`AS=!QTH1KB$dz)4l43#Z?#fF8~mSacD2_9ktfV~Pc4R5c*3 zd7h*qAE{&BqEUb{!90_cfCLdB_i;(w8F$f#B0l5^I+SXPZ4=Ms+8X3P6UMBEs`1`vmX3=)ZxA9go-twbRCmsD9g6;3~d-vVXFEf;g_r zI9Zv>DAZTs0Xpys*9xa8mno;j&Bm8E$}0Yor%QKV1aQVL* zuCbZ+$+A(y2h5F@hW))ay6WoXk5|`7ohpo02|VVF8qPGrD{538c+XR}ooN9w|2=hK z;@kZe!Hc>|qj!ws;G)rHi=fZbXz`}Lv6%=5N}jFTjeXn;@!fN!Oixf*SSt}3mnG8< zS~$+u>h^mAj5XlNVz1|L-^XZVzp7ikW67S+ahynu3nsB-QQVvH;C=s>+u&nnry{u? zMJ~_jSj@*}V#YV*M$=kPe!}{9YQ6B{J%g4|iZ#R+r~scnqI5e!L6laPHTFfb>*x0) z^Or$mQ1iDv2b-0^A-D8%-sf_tel?o`87E$5s7J$)g>9}0n&-SBtNtu_cqr*{cvk4~ zs*LYie_uQW#5>3-a~8x4J$)U79MMGK% z-D5houBGmkbE;X0KEoY|cM-L1$@olKX}K`vzN&X)5&Hoxi6})ricu_X6r+>)F-r`itHf&*&lkE-i@ z$XieSjt9c4BdVu52$8g^}8m5C#%cjf%fZH1MCh6NlRiy zTGjSRUUplRXr?Lqyl9tJBrlPeqQNg(*AveVz2hh3Px|rw#eM36MKmWJ4hCs?nllJD z2cxswM9s3VcUv+xwh-^Kjmsp{Hn?8AZMqa)UElGGdjH=dHng7e)M5(!`HB3A{w^`5 z*bq=lhTXP7EuoqKwS-$XeP?cdqu&M7*eXT2xqEKDko>q>&<7DF=2X!dSf(JotYpr< z4*n-Oy?JM@w2=L{rNIFa73flNRdC@WVryRA00u3tj*G^`!SwFA0Gsvg>ISp49?`>s zkvdOWAFX7M(q6S8E!Bqkr-sT1axpd)hFQxL*J!H++x_n`?jNR9O*{DBI;SIsh5Ot! zAl^R}_;P{q!8haQ6nK#E-Iz`oG^&ciU)uny&&hwcb{=&_OY+r*Y{Lcq@Jj0Wg%#G`)^l3yfK2_d=FbZ4tP0b z`c=L4;O41s`;)!OA1o^kIw9gsE44EKXN$f-)2luo(IN(%!VtKkP7-x9uW1{z4NGN+ zj1MHr@RPJY?92reQhse|Fk|Zn1!jcz9h4*NX|@C9$Ghw(hPUoF>T!Adhl6*r$!;!p zc>HVA=`)N;r-Tz|$zc~0WeuKh=T3@TrgSExx*QUAGrk^S}i<37u`SNPxbncFFRj7ZipoG^jemK!ey^*nAQ2i4cd~DQS0-59Kd8N*~ z`yBFkEqY8i|8#VBInxK6J&ojJRA&X8yG8S| z!>kpEjGFG@4FY?>g&fCL`@2(Q;XN!ei*oi}DS67WAysYCzEb|~%%$|=z*o{m;v8~R z&f4tq*457tB=Jb0LUDEMrNmnCw0L;qmlkdnwr-9PXXT%!q)ysH$C1zsEf@XQ;(3P+ zI<8i9@wO&FKlQi7rYw)!aRsC>gl=mjh#X#gXDiy2RA6i)+cUWmmzt-}Q+~oPEeY&Y zIHhOhwG)p`LWlRA#0aBrrP?iT#()|8SJ(iA(m+N0{v9#J5isMYZ(oY@<7WkYL z>OoKFXX8&BQ6bE)(40Ny#~Nt-dReNHo&qfL6V(Qn)8h}=dHR<tNvi3%T_@%()urA+*ldVP|T@yqqWdKS88 zp6h5rEOefp>lPv`bQIp}?&K_V0q*OQlq_^P?v~H?db&l_#A`Qt;1X)$zc+ehWz?9a z=*-`-M>Ru8!qxBNlZLAkqRK6pw4LoCi@wN9g;yQ^zW$nX-M75^oR&6lrB zT)&b+LO+^q7Xj{$zXwXrbS2vI`9G)j~gUI z8I*EG2B6*%-A%I#2Ghl=)~(wAtWc1Bwu=r%t$}MT1*4 zb`6vn^9H<3Fc;sQvGT7gI^jU}Hx1%{Qm2x>cZsKBOw|-yap!Xh95CS+`X#3{%!6b9 zbBmtqBW=M}N>=@^T6nU#&&2m(BBK`z(!)GrP)FlFai!r>9Q(8%^ju;O3T(b5Iprrp zB9w0E^U`ogkDT-C1tRMGX_!jqvTHXP^(`u zxrb>ZXF3geH4CuERHfpnMNaYL9X^j}G5agnyO0RF4Qhp!1gms#$Pt<-7&DZf@Xz5* z=DfRBC$q;KTq%zf2-#dUkf)aRD6U|Pp|>sZz2BcioX6L82)~!d7f7ow8PRv>Zt|0! zx~|`S3tkdHOrt;yOw1+ld|SWfDSY>oXeo+F>3vE@(mh0|=RpEvgPTi%Rss2{{g*6q zx+i_S5k}32(&TyR)Zy90dE|8efvKN3&AG6C;wM8?Z#1=IYg!C9-e+JxymYRT)|o$9 zGJ}^?Z|Hl2bmHp_BBd1$Ullg3A4Bc>ku&WcEGdlMRWyPx^81F#)Ax#&A5tTpi=5eS;jRMj zQ}!#;>pA?T%h|Y8`jd$#81}!V{ty)W#JGXPZ4>`Zj9!zbkvqjvRTlc+ATPA^Br{R< zC64;?=QlLs?r;{DvmPl2^8icw1|A8%xW$xgN~NQ~!l0^p9>y0UXc3DPq%UQA)<{l- z<$e*O$nn~Ck{h}US=D1t*_-&o)a9@L3&b3zqCgJ6cM1JX6V@G9{70+gN*}o5{EX>O z8m0D~GuLsn{%qfS5_ctBd>K4)clM)bFAoPb#Nb{zo)A`BNL@%&NLfe*`q7)-YMFW# z54EU7@sxVz2J3Lmf~yhkd?jPi7Y7a`&RdriWzzLY8%>qilP@GuNk==Wubfg}$>9si zc%t7?l1RN9Csk^uCWVgZ6GgiX4Bf6W#vn^_ak9O;b(;ua$UB=njv;Aq-xHFV=_}m8 z)uc(Od?*JJV?mQ$?0y+(tc(Y|10VU(ZvkKXNja!9F-Ls? zXfZo5!@2Py^ByOj9#%$(DHyEe!Jzd_+SQ)DX~r5hVZ11HI;G7-TK0+Y_r)jFsZC2w zu20N3+=hS)!vW(2uxXnL zTkN{M+A#=x!OL(X4e8XHkbc}l36Go$L4lrA;IvsbKl_wfwg(E;qR@Btsb&Z3zN>%& z3VLe&nvM==P!RI!D&+he>)-%??o)~^uacIDANGm__3rVcly59{YoNfyNRritO=1 z+p(H>0FN63{C^nx3a~hutX-VJ!l1!ra7)k-G|1rYgS#dH0>LHN5S-wW;O_2{;O-J6 zIKee&ASAcRxBKsY`)BXH&-3;<@2Re~s_N?MQ)i~j9Xp5~HFl!v*q`>}$;G_B5u4ma zmMg%ePZNCy7{$vJ8GuX9IU;p)?KL7*ax_798WELEIx6n4xg)&LWzF9MsRCU0h?qq? z=$wewM@lxDxY(tpf=zwj3J6q~9r;-et6Mx5N6) zr=#cHLhkO1^io9(kdT?#GPr51}73zdDDE4e`{pQogr493ELALu5@~`TOh@Eoi0S#WaNUvr59ujU354 z$<6{6!L!K^(Sv~i(pM&+ml4G1i6BXod!6!cIQ3VexqhwZ-Pa)EzdB`mN|`}~8gP@} z(~tnZ7zuZfEOc)*_&P z1GckormMgQQ&8Q|8n$Gi;R9N?@5?1hpz&sycpc{>Yq z{VmM=K?zU*&afpgJFWNNd|(g_9Ww|GMF_FNkh-2TbKZ}7pzUmHE;mR zE2fwKS*;!AIx=7!tUC1Jhb5?P_;2*o_c0B#ko?cE&!LFo^J?F8vNtQB1ID4TAMqL9 z=whg?GbJ@12c#~E^`dozndox5eEeR8^B(JSrtSewYQj{eE*vM7Xeo1^f{d43DbQ-l zZfMXZoDb8B#+C4ZX3nTG%_J9I>20PNZa0ksX_`Tsq>0sk`2q)Dg}!NKIWN13NQ+zl zB}0v+4!8ar24}1y3wx=AVUDucCcY<9^b*ZcROuwUg1uN~x%+WoFP}W4Kw0=jf+r6C z6@+M3XOAyLb7zAaf-i%8+AT}LWlAHx9CKK35;JY4 zD;~j19ZqPL`#o^HQ`{!2Ji{t}pPZ2_2r_noLx3X@)29x`3lk{i4?`d%8wXCmn{HM9!t|jTiP<0&9kRj%E@mx_K*$ z9x-Srg9L+yBE4G{VNH}l8VO|0&V~O;8!qW z<_A#N&CXM>>2A)VSWsTHGBRGGXfrlcALc(j=g1%fvDqQkmFeOQ4z|VqN~GopWv&P* zpp)>BvY^5nWHb8_qH3hAEj=fatYmU!g582{PG2|vl7J z0^<=4ZDD?ZE@OhGhOLv9-$Cd>2sRWmMv)%R-)@#L9hCx)0(^jX0LBJkBE35z&eNA1 zsEM`v)DX*BkfJFtk~?V2sHMzPV_+ z%*8!_BZQrL&#H$A&1LB)sH&gUo;lCb>ACgiP%mGAECfKdlSy_(xRXO;mg%Td0a{%G zP&bKVp{%Ra>m`~=ue*MeK-uF9xXZFhqcgw(KIB=EQ< z2`M0wN$%ObNPhUvqm2`fznseNwJmumA5-lnvi>*!^si^*RG(_RMHi9BbH~EH5K_;- zyWon&iK-kU)(cEpuw(g|yr)y@l|TUj=B8LGg=`%16@e%QQUF6P`;33JCiOQe5MYFxhDL_&<<@sD9*0@G%cq~EXXD55i;Iv$`idslpv5E>C0Lw zo168Ns8?(rpASDcAV$Af@F)J2RZAZ?VP z0EP~$5+IyQ2q)ELnkE*5Da}$@aOlJ9M1fs)=gAbHt=ZCQzwa*m@nIuHpl@o~bu=WM z#Ywo}DiNP?z5{HQ6fruWfa=a%+a=tW)Afxqt7prrPY({JVHW zF_i+TnWoESbT3-~p4!a(GcpHyX{grK1PD)SsgDn8;l~BVr$dfFOd=sQ!CvX^M=<9W zi>T!UaRi-(kR&h|sC5^zSD^qmO(?1eS2bw#X86NJioiZk3l9>WE=nH<_ivPzM)X6> z1{5RMA&D#9vRGB|Oi#|))Tt3Y9mO1>2(Q7!Mhzl-FB>yVWU_%iTca_CHRm88LG4#qW9Z4|a5(VWo$x8yz z4(EaZc--`yR7~ux*W_CI>mBD%D!&Il%9FrmV=p}s@*+pL#4@8|E^$%zNc2H|Vw63u zLAz%h-G#hH3PJG${l?@z;%l06IF%Us z`U1)n>Ta+p!a$P8bNVGw_w$93nZulhbkV9tOTD${pOc70OHYnASIbXhG*;4%Q7Pb@G*iuWROlBP!-W%8}6nRBP%`0lhUYAfjTNltjvJ)oC!C0bksy+LWOyvp0Maz$jOf+sOF{@0uYP%gMZvhW{TbKRx^( zHnEz!wdz`$INS}5Xqi;=AI8)z)-iT{m9cW)l2bb683#73F^8V)R`HHlQg6QEyO&%~?&axk zS;apB)YNTe*I>YEWi`#yQg+Y-HmrdEF&oz(u#FN&C)hq3+I__{VksaJoZrM=&^N`K zaM{_V@)U06LDO%3pj&E2sb8Ra9>@VGo+A!hg%8dr6!_i2AIQd9O0N zuq!P40b7{hpAF#*Dbph6v6pHv*1PS=%`&Axy4qD)8`h7zY39zOP%2sZe&L)4O=L=U!6BTWVtqo0zQ3&NG!# z=n6~p)(8N)jrIv~(;|iy;Vhsxap+eCx)6R3X7;*GAsOBzy>FB(sTH*%B5dCdyhif0 zMM{i;UTB4b#>1$ng38D3NcgBz^U@9l8&2A#I(LqVYPRP~g86)9ahwxdwwH|tY%1oo zdPk0Yyh7sstM}6_Kicv~Q%lPr#DQHnSvMz*KwEks2^xK54DpwRWI%+a#1OkmV6|2h z&$GAC#4)^+peK-n%0^Y`1dQ=*Mjg#tNdq7I65xs55br;w+3bJMjlLW&aFRdl z&u{slmBo2gDFxYKkiuOf|Ni;kao0I4i*@8+C9M~t)YZMykj~f}!Mn3-JuDlTJ_}G- z5ZdfAp8p!$}7|-|-B~wgaAWWJow=|;J@8?#<{)zk~r=!DF>*@sfV6<_5&0mr+u*8-zg^OXCyf$$f=F*?fw z{~h>zkYEit^QQ^Ce^y~E2ZGE$}QDk$Av5Cj{bY4;EF(kIMH_~RgsIIca|Bg!v!arh7 zfKz&pARXB}SM)#WMpFMn-%#(hKd9EaDWZ0vM(7ahQ0yVr?3;LSqcy(xsBjyEJA4^z8W}=EwT7lmAg+ zUb%QgF{=c=58$|D)O@0H9~#J*B5Qh^zc_vTs(jq=W%n*osBOim;7b4K+*d(GG9LC> z{ixxbohMYqjG)3x7ey)7N-jJ%Fjq+KDwc{~M83GkHd4xzL-~0C=JTAY;Ic~YR7Y#( zQYunqeE1Aq*F|<^^_1fdb7_QCg?^5M$o>B6rB~dTtIG3UM7Hm$nrSJhj3vNCCgYqL zw4=|b4MG!4=(v&&=0wH@Y!-sbKis}Ot=qz>~2n+w1KQ-bRV`8h$l=m@&^~H%%aS28>;0T zteJf+u1qWf^it_>9d@+#M|ju7ckjitYss5k$gVImRNEnlO;-}v`ncDwelM4G#I*unzbR*~eDQ9LUK^&M`K13uovoCCm3%Yv zU&uYqPJ}H9U8=?zEN#;k?ujjhLkg=O7zu53valcvd>%NJpraMc*kuAlZH0GnJ0!k<5yB8Y$Y+XEM%0~+^GeZR+fgFt_ z8Cj%kyfP->}4Y7bpJ2qzRp&yF(SjFOj*~e?zYwaFO&&wiIQ*;%5v{6UIO2s!}7| ztD`a(f@Unb&w^02;_$|VA$xcj;xkjiy|jg%sWM6^S~wFMoB_qbJ28~_j@^EQ+L!n- z4Df_DXsf)bM`sp>aXvCGeK`O$n!0XOC@V+T{H(#5z(}*VWi8SQd^5eA?S>!anwPKEZbrvy}bpCEIhCE@ATDhHM z^GNAw8Si}Uq=inqfCX@n=7Dl==l*MXAD1}8?_2LkOTEiKV(SB2yrHb$dOnumbjfe_ z{E>dy&)$e4Df4-|3x2EWpS_B+E6D>l%o+C`hBp%&hOY$`oEZ!^$rCJCJ>S9%vu=e#=Wvsy1%Tl0pK`!e55*E?c{NpgNd;%hlJW;N?VO_s4+{~r$mTD z_7m4(ewGb3z)yp!^?BPNCi5I*#zhXm@a}*(vSM1tHN02r{lzlOtVplz-9>n$$z#&_EO&d$)@3&LIm`Bz+}KE5`g^8~_u+}T#7WDyn8kp+!Yt0n2lOds zF)HiBq!|9RX^k_ zm&Vz!o91jc6LTUn1Iina!FB4!0ytd2yZc{qzUBMF@ATS-D)W2X+?++b@vdr)U|$(P@HX7t!S`nq)n8_E0P%~;YD zudkOvk(V%_ruWKZ2lqv)t}Xj5=AHjY>9fj|3-l*7KR;Q`QqK)PQNW)$&ysQ0^|>RO zJIIOdtiBQb$;@6-@e%3$g^tPDAW80DtW0pSP$Wy;*>*Zzy{L)TP9<*IfUJ(m6!XZZ zZL9i+=;H|k(a+W*sY9M$6MECicurnNomv;%5nt9eCIzWl#gn|GRoTpGkeqMDocIKc zy|`e|GmDyue3==-G^TeVX-w~dB_CL-L}n~W;O;b@>L-P(;vH=PLa6Ad;Q_iGxiqO# zn*_8HHI5HyXnC>R*zqL-eT|I;&{4>Pt+f!uF}jIKpv?Yr+JPVju)Yh}v@7KBSxBYn zK@(dTC9$E;^5+H_7hIulU80_E>5BOAfdQu{Zs{^|$<-%(V~@9Vu3AOqSzfHoLzD6U1iS00pJ%ssvI0Jtz!ZB2fL3r$_MyLvf=_lClL z2}Iwgb<8ZKL{Af7x-lwU4BFb8ao(XoaxlAi0^0sUZeF?+eO2s~_7DrBF(#Wp&$)sr zLqW11OX((|24`{0SO{yBJw=_B&%RX4u}?O_s7 z74{!cU!NFV^j(oerEb~dDiesbXLKGu*Q6JFY)H(qxyQ85q0Bj?#eU|X4HwMH?1h=V zFAhA@RK(APLJBhvSVEN1@lvq7Fhv`as^6?qr|y;C$a&g&wCaKI_V}e;p@l2B9o7## zm*D326DVs7^wNehPx-xgwi}+|{C~vIu-9#qq%p3*Pn|F-Le=gl8C&5w)Q;JAxr4 zQ$`Lq_K0CgPEb~lek7JruED_6IG;y-cnZ=Re#6>Y@ zOC(2$f6TXR+v;?j{c859Ejv3zVazo#{qP`CQPmVrSGut}!qJj+ZIHXua^~G@wqlBX zUU)Pa8%}S4lBp><-}8nx?fPbBc&2=B^^6oAiWYZb*(2iU09Mi=?QI3w;Ov?2+_70U zF75Fa%r~;e%G`uL7TP|!=lSp9EF~=J#=u`y6^<)ftb zxSJtJW|6AknlSTj$RT0Ft%SAwvTS6k7>P7@7_5c@FkkjW=&ELw^$+4{#?v}EqYzn! zU{i{_kOuX9$o&W0zfnk`!Mq0x+?bmZ3E)OHG23r*kYiUkI;PA2jfLO3E?P9-aEc8X&4@5)g$CDj=k99dXO*`Ixo$7CDA zZHVn0{MJ>KTRCacm`JEy7x7BYR4bMwg;1Lzv`Ws@QbClKNONBO&Phxqfn(+|{`tKz zDGnHic^uz|+Wm@Ow`VMvWKjE7zGYXy$FW+CfPP#rtLY_%e6 zh}(4J$y0e&O`vIN77i{mGiqAG3I{7y6HK#MyCk$u@p(cMieC$snC6M6ji!pEB|Lh7 z`@XZ7X3bm!MnvEGLKB?QlWs}um8g55YgJ|a4`aSW*Q!E~k6tUEP*)R^O(V>J6`sH% zC7sYI9~h&PR|#YH@G@tf)mvU5VMK-dt=B2xVd}Ruev(B zaj*zfj(qSnliiCSZyy(No@yH3tZ1075BR7Cuo5K69qKaHS*J{=TdI2{{?o8eOUaer zbE2eHQUUsH8~1>)W~mwi&1nv@w9IUKIZs3HYfE>{lgw444oZ3g=;w%?{+&-YW3pd- zO*Ba?r9&r(zY*q-Ce5i;2AX(vxCY<^5Oq|W$W?M^M{2-$3M#FXO-DX-rov+d;C#-Q z&$kG*e>*LLJ^&7LNWUWBJ72Q|dN&X|0pPrn1>R@{WWm{M-@%E^j%0z&YXHXuJo&LJssA#eA4Mod$iGdSi_b6SB3L?L z|2OE1tv~K${0VvSclAvG3-SUHaF!IJz8A!72;C^!@dggY_^CtzIaOF7{JzeKy zpI-z>fx3$e!tR6J3(Qn-5dw+UKWE*x9DM$)+|cN{;Sdf2+8deAb zn9B|k?}k=dZ}r8O;rYgtnpZs58&;#eBOfB)3y||WKPkU=vWQ2Z0ZtM#UQTH}aE?FM zWB>_HL=17ajY&l9ryTLE37RvTcOJa`!ekayINZ@_DKf~v>jb6lzD_-SD1?so!2o}m8T z%y}zvEQMdxdinZ;$HqU5`zI4IWcQFZV7fck|186_lvjCh0);NXs6uE|bi8`D0$5FJt)365?BY;YFw`UPr;D%R;b z60{KRZixt)4rt>=t~0^@F96D?B&hojK)ElVfD>&JIu4Kn94OKMq?NyTtNws#z5$QT- zNg&ceWn4iKAbs-?Qnk8BQmw^gxw^;{%|EET5a_4$oL>k?mlQte;L7bCem_4EnG8gf z1A!YX8XJZf^)OHweXJZ_Rsxia1yUO;OCuGejbD@#QaDEJ>|s7_9p2Q+wO7+)uuO6> zZ}!L`;^qZc5lbZ#Z(Px$SK2LDtx&qZB3<^`Je zf6~iG^nU_Olm)1}kYCCUF=t^6Cs-dBUR@CI9Q z{SCxwjfTYbN$^F>HCNq#(fezTB}{){ZiCl54!JL}g0A}#6Z|Lj7omjzJKp=OSs6FZ zKeWGu|0jjk@Jq`Rk1Uh0=<<4*=Wardbh@3fDk8$8H$w`mh1r;e-I#^Dn1yj!g!Ng3 z3s{8DSVW&eY?(RUv4ks+lki2tatP?~sut@i|AcEhO2BJ+1G$fv3cZh)qLu*SrHnxL zi8N4s$7k-iD4VjIViqbEG8Q!IAr_EOkbG+;dc$vgzA=%u__pRxD@`fpZW^N2N03fp zHhmhzA56kmchV20<7&c9(xYB_1bhdv(0#3qPI_huv+4!>y8eqJ=Eva~yWSAqp^4H? zu8Pi>s?O7zPPO{Z@3Eq|NC8Tpti{DDF|H{bf4K!plx-&d$PAC!kS zc#QKeXG!*)YkHyj6FFcrz;C@^yjM9#Cyvro%rU2c%7~@VlOB+wkL>; zx1?CS<^dV+e&+vHuTzn3c({=RFr5;%{i|a=ob(5To60Px9NAKs8WM)%7W0Fks?A&_ z5JA*_Mi&j$h9R321Ci3g!ZzM{k<=9PAV!p2FaTFhoD(zFBe z)jqoFVWdjmE!Z;HkX<}4NXWFkR(*h?ltbE29<&~5`g>wY9M}lam}$&YJ8E(1U>86b~CRM+v`#Dnmo3$Y;a?%f6p zoe%Zo2>%J_HZICEq2V*Is>a+yK)@b?nVsi|-@SYm=r*+1frnU1|E1IufenMdnCkbV z(~n1TkBY7p8D-mlT11)`1GJaX+y^p3?C_v*iw<_A>R-yC{ebRtWdqvw{~|($6vrRL z<8VZUY0YT%Q#yqo{rJx&LkI?p#46Eq6X0JTnBw-cVM)#* ze;e!sU;4FHCs!wc@6*Crq>4*$%h)mhgwhZoIJ>I%_|dn1VlaDlU!9)`-aeBUTL;8b z5er1j1p$U}%#d_AC=!ybdruSZDde8+0olZJ3NsMOfH~Y}hUGoiHY)Dq9mk$9Vwt*vLr-yZLhea1SDxA)EdSaRNwVK6hB%E1*mbK zho2PZem$`)f^>G){2Bohr{6gQSDqZa;R%8>{nDrX1KtzGfKnCMJHWuaDqb*fKMZj~ zyUu49U*2x1It*YQ^DaO49=|R;-Q{*brMf8e&|C9>|7S!NzNF8*@M`X>*+v`ox;@E) zPW6%}B;hyRl_N>F2o;s3(JG{bi5ErG4JhpU8&hQPyo4L5oT+V|=+&fwUeVYNZ<6-j z8FU0lKG1M3YBTMTe@j$0JN6s_i2o>R=&UYp51TR={Pa0ERe|(6Ov9Na5Z32(x?iA+ z0N7-Drnd9#tU55g`ie86#KgmNWO$xs3y*}e?flXDI1o2N$pplW^qNJ7A5R#=Ez5*6 zOPfj)-WKsC)qPuS*s9j1QvYnQu;<&OeZ^L<_e>TQwotrHz|8(~hKGrk zB(x?&uKvR?@!4n@ghaI6<>&A`@#bd+ra+`uB^!I4-m{RY^_!-E^!pGhsmc3rBrm{$ zw5P|c1vfV|%`0}CWh>SEs?AcRp0JqPEw5!CgI}W8t1tw-o-39^@8g|{)M{~zy=@;Y z=04u#O?o-`Ff3I8Z~8bEIT3PlQQE1F(k`J5V-Z}g%&b&@{Y|U2v%0{g4{%KvxVQ!0 zOQv8Ty5@*%!oaT1rbT%x6)&lU0PrUpy5ZP9D%pR)G-Bzp6q(mlYOlw&UTfBUQKRIS zhu4CuVrtucM5GBLtGXp6N|ZiGSGX@6(qf=+j3(?ZeVZ=CzsrL$uDiWmLQ%ZU+Rdao z$B;6TE0SVf+=?}$^LaW#9mOhTDkV8-m_ghDK?tpGlI)at{#T=5_hamP6+ho5EaC@g z{#=N@N{GWx2us2p1-l9+D2lziB!mcec$y7o` ze#uH=qtPMu9usXr(*)>g^6?*lpf;>Wvot~Z>!bJ*%&*)!z!IUyy6axC?iS7nh_=#? z(82GlES&Bs6*_olM>sqOeSE^>fedbD&KY(Tm6*)-cXAQGsL>jJPlVX;FE}(NB{5Bi zD7ix(uKmo^nJeyzsFz8zjJ2>nCaA=CzA4wDk4Lm(9U}759gBG(jP$pR)fY~9 zA^BSo`Y?fQq$iw_{FPa6lxW7~q$)5_1X(i1@86TAQh<19AMPn+=CZfA@5F4QH5cd- zW(iol5b`bx<#01=so=Yaa{)3go(T1hQ2i4db^S}25CWopNCGmr5)R`>K-}#uMFq+7 zZ?TJsHH(^ODcdqw5csrp7JtS@R)t;kn_^-*z&EBMCB}1d(ShmjBYH}D4q!SwF4vA8 zi$@(6No}f%$%Z36R$SQ}di5QjjpqbU%FGf?)JU#$cr|w<(|5Y5U=VvxCe5pO_;1E4 z{fL-~jduPsQT5JmeWPp2 z@B=2pR+_3(T0o>d!ZbS*WFDakTcVf7f+vu6H)d6GBU6i`Xj!e+JP}VIz5b!33Y<+G zfo4jFc&h({hQ0%3Q%f|iF%4LX`0zDhaF(cqj+lu_vM$l-xVTeQhq*>uRV1dXvQ)0}mi!hjUY0a>Zkzn&C5%p=v z3$KU0goZM&Enb_{TGyKMa?^ z3e(c73Z&x)%^OCj%S5mHHCI&vkKyXxmj}t!=6Uo$b{D<8pu~pjJ z_b#*cvjXk9)+p8)3M{3=qWihxCDET^t|ZVl1|A2n)?D$Dh$qZ@Nkn809*FQst6E;B z)6*4Bt_~7sCj{}2MaRKx$7_!1waL!Eh=wMhg&#GB)lc=C-?zhWq_TeLQ#6I#$YU?T znkoL>xhe=KTI*M9 z#;Ody8HZTK-N(*8;$IurMpT^z>`Up3$&xmL`K3mHlt?uo#oc+@AVvT3Wd|mk^N=9A zfLAZK+?)ePp$jT&Qj^4LR-zQpc`j3=U1S;hk%QuxFt zn?9Sdolk({6y+l8GhxrD6b&={rubZ5784I$I!HZajvEp$(OrUQSn@>@jRu2P%EgPR|HX!&{{&TKY=vp zI*mjmRzk+R=^mru6<>d1&98m5e-oM+woBw4YBZI!w=H6Y?*aVs0}T&fn*IpR9pc9e zi5Ev{5-(szj!s1uCsmd9%r3ovrW}S=uMk-F7u@*23$?I+pR!<;U!PL+(#r0CQCo&? z+StW>S_(+hOEM%Lt{{d2omNXv9D{tF9!t+OK#f{@av0|8eExbvc_A;0RK+e6gddV8 z3W*nM#085>a-rb#fEOtEl_amB_Nl=qpw458b*2u>`kh_#s2~fW1{!!#fDe?X|f;$Unk43_W%!X zfnkgqC(8(bpP|ZV$8vx;k}kkA%k5lh6&th%Uq&b4YAFw)nxYB9Kas_tef2p0QzFCa zb3z(sM0v6>6E1K(LYtW2ltMmFTZrJ)P%%#%*7*JJUEIFH3$Q_=5z9jlm79-D*G46S z(5N1HL2Xu#Jn4h>T;4L$YC4!+5NlV+i1}rD4h#`yZ6D39)k_4agLFuM*4g+OoJH7a z`4*J-P8TyJPb>q0M-5M$uE34W>dLzwwiEKCb{rgEunJ!)98+1)pcPsa*5D0k{2L`QS(aL`^3;*ljk7d#fBG+ zi7b#H60ldH$A?POl#F{1%7U0*9X-GS4kW}IgjxVs$o?0?NqLArJbV;fx!UT;6_nqJ z^-$7=wcT;vShU^awFB?Fb-tRwMF&w&_p|efjYa+t;Z};Fm#ZFnoGaIRL3kX{=WR2y#X zzWU^lA?&li{IyV5$QGZf!rkd=Iq+5=HLj-@yWRKKPx32j{z*0W#M7L^AAO~R%wBhk zk+kglmjfeRpAY6Uq3TZ7geLN7Gj)OFT)bExcovZDKp#3S3h{j z(4qYJS>x^K%v2w)J@qpWyB4+&3&K06GsIB@z~lXrw1PUi-b&>A9OZbIp&z!CNL+l~ zVJ5!j;6nacfV^&BX?kIN9mg=rMO_N8^XL*#ak+b(<)abefDk@Rk;fZ zH}$$bpYh6pmLG}w@e~R0Bvp~qhR!s<-r%UpWAke6?~wMbwQe8_UYBnmy%!|+;vpc8 zy-35@+O{p3%g=q2vJ~b_>J)0Q%oLDqU{k#0d*5nls~Rp?qA5-{pFBCZ{JFX7j^EEu zB~1Sf4SdX)<9Q{G<+W6@jcU;d%9ePHCiyDwbKu&XV772{>;Cexk%;$ASqtuR^RNCb zn*Hs`6zBA3WQTn!`HTB4D#uYwW{ID9OT3PS>!?38=?FNF-ho_?teRKer%x;hd$b78 z7to(2EH27CnT0<5)-C^G)qRn=Ag`ILQ=DsdjHBn_w$qzOaueass)E>Zi`9W_0TYY0gS; zGKNO`j%_{E`b>`9UY`$?y3NyHcNKdlWwfkWR_1P2>(KdCD%!;#I=ck_++M7C=GI^# zGyWoOQOMvmEFBT4-2+CgQt)MEZh0OpK2NCR1%;o{;bTgr?Y*DEvM#f)Yp}(zj212= zh-o=2CWa-5MTR#A%-Op(ZdWAE`@?2_9WA8QQ@-l6m-!+-6(dD}mE~!mAyQsM^*Jsl zD^@P^O4YmLc;qaIYV0f`*i#1d;`?dte&3aG&6@9{4k)$3$5&^)KKhG_mWJ0(L!n=U zTdU22#T7Y@CQ3fzE}xQOZU~+kR=O})5tiXaS*HCUnbmfn(qo#ZvTu>xhb&5Fyza^R zEZi(&vCKcla~EnDEmbRj1@;w;r22I2s_)WLwqzeW{3T^M*`m5vD~ht*$>4;&@90}u z`}d&JErQVQieLinCV&inX3f_CgiedJt^Q@ zDZNibOkYgVq3M4Z;#%@9W{4V(M7W-IJ;K?xdVRdL8;8#CFiiHo>Tw0wgSkv{mO%RL zd}iMBm&tBrcX5I3cn|u+Dpow6rg=F1>fpxei1U5)A>>X#2vMrq_EYIqA_wIyw$F!s zYMVe){exUot1=LZ6$phG`PYviIezo!DZ>@9O@>NlAFih{x4*Ai(Cggcw28R!ztHow zCicOt_QZ^kyVUl!vu*OW+u0|IGpYF|r%7-@TU9|CR!nJnt3R4;=Rmpy?yo(5XU!_e zB#Nr_LZ#z?euABCf_-QZyQZ|erWE=oSk#nygq_%=LTcY~qC0y%bgoQU+4o%$LXbcj;H-asgb+g~jH>Av*wjY!lH;pl}T}Z&KWyVAZtQo+*L653OGDL|| z#WL>w2G7KNh4IcN<()Un1ltQ;=X12Zk~py=39pNZ!jE~MOKfxzwQ64{5piG*pkE!g zdp=KJ?|JdCI{8o{aq_W6(V9f!R>QkPip+0XW^dw~bG}e=l>Ll!_~PUA>-2C+hVCtM zl9a%g4WMaRYh+Q6UVXe8B|jQ_8I|Z1DRb2GA}XRGJt7Uq_XyjDi3r+Q)MdrNPRl|d@P&DwiLchQRimMx+f!-=-6B^VEDl$&inR~H$C!^ zQbrk?X@b9`j10rK>_lC9y0YqQ8f)C)$Q35)ulWNUHFH{Es_ZKZ_iTAIjj2_Y@<5* zA+gI8IWgh{QFA`P^`Y-V>&2Y0m7a3rrkYc{Hy~KkPbC;n%()ztZt<&FKN^2c_UMrT2lzo7!nSzvXh56X_A$Q<^&}Jkw>+ z{hDH6Jw(4BSU~9Mw3L#)^$(+aCGfY~J`5r1K688IwrMbmVF7x*P1BdxEZxMu)by~w zXm>PiOdBD?La8@78%p3Xh1#4^`qR-Ajwa*uHqJ ztytM>)f5>>T9Hej@;q3??n@&NchVb$^uCk|eBSXuHUg1Pfr}QA=U-pr+E)ydr6z|K z4%dBLsE(VNp}-;%kJwRtxY3BO?Bxb8Z=M>vSsfEN)}i=09Vo>ut z;gh=kr1QBH^HdDSW)&qfHSu!J`Q?1iv(m24*Da~xRl*2?nOyWzsp4(FC^Fvm&6@ml z-jci6t6$zyG;zO}PI3H}LUW!ne!%MQ^ip69CByFExwF>_V?+9o{;&+F)| zdVeLhWCy+(E~?4epV6Qhy(A2AZh?$)ggQT87bdrRabqR{=`dTygH6O%PDIhXOx;j? z5x?J8JHKG7n1jeAtwaK0;T$t>a&bMJYqZEOBqYAxJyJx&HW&<+bOs%$HxT|@xpBPU z-j!S`Yy`ROnr#v1lWD%+N96w*!$_+H-<4Q;Jy!xo#W$)|-F7!yb9iq9+<{Q_PmR+C7mzZBYS6kf=G1EygPu|ouMTvl$k|1>v z9@X1Xzb&}UFrSu$FEkIew3L8cL%x2OG#(>}a-g2bFbJcQ*ix$PCX?_9q0Y1J-TM4m zQ0lU0o{>!~nPHQ*)nfSg#nbBjkVTTM+?MoXH=j2~=DFt)PfD8!w^0M42<1Cf34*hI z+38L%lD~0QQvZ0@v(8mPAJ7impCVSuP^+FMF>AM%Zz6QblV|=M)S3eQ%YOh zy#-1sR@|Xji?(QShf=J#1PB&V+}+)Z6nA%*0xb@~B{%_s2a-R}_rCw{eSgn4d(CFE zSMEKtnVFq4_v|^xPmh5`G*KK{{#6AB+Ws{gg5Yn>rW`IsCDEDt%_-4N`#R#o!l6D33iq19ToxA^#`_ks zEKenP8@C3EL*-wFD!wVX66>kEj9t8MhkvXVT$gnwrm{RcvsiJK82`Gvc$u-=$jB+3 zCk*7obmH`I;@s=5p`zLn*&P)!A-V6Z^WO~Lk5l}DR{6?lq+aID>F91G73<_p9nIbm zI{}x+;dvA0jG^;>*QA!`G{IevCfLEkQ^L(~1&;-Cx13CH+{_@q%=hX?#9E%-s7*?$ zd$zSI*hMeVTCp)BXhxm;K#@leJ?cOgTXB@0+k-V$9ebQxLZd^|(-Vuz{; zN@cb8BS^y_iRp!dV`8(`ud_!7bWrUq$S3%K^>ZIAo+l>tuy!{b*7k+RRhdD(tt5H( zX{DU)z?u8f#p%HBHKpOi497=dDqU&LZ8|I$QWUlF@@u3*;(@lzGLDQjIbjXnMvJOa zgtS3}J*GPI1&eA#*OxYvpup0J(da-vMJmJAb@gW{Y1NSh7Id5>&U#@~`OT3-7TlZ} z&S_B*7ONqUMd43JB2P9^6GFMCKY#(lqquw5VpY< z-FHG()}D(rQ|Ps#foonZX83BooH=5ht?VIBbi&5}PEoMi4qkDe-3{4}afbz^8+VR~ zjT6Y`vpr5D3|akw&?{y}2JNaV6Nxb`@8`z+0&SLVf;c8M?3Qze#mO_u$bGNIgFBZF z%O1f9MAA+d)Of3~uOzJ{e6F?rwo_SVLJl^Lav!A=tLck*6Mx6pJdhS@-r-hbM zBMO}GcAYqMoiKQI!s|h#edu~7HnuN8;=xDsL^W1vf4g2)1<&X)P^qcoLW~$2wStE^ z*Q|(%`R+}4d+UU;KEZNbo%~9bpLNyl)VGYH;x%;&-=^!a2#U{5ypGlIKT3~F@b|ul z(d1=g01G-5qdUW&Wvr;ewtwcALS&wG)sFi2#_JeUo&XK{-w={5c@1_G^9I^zR-%$g zlW?3K2g}Il$_COeuM@ihDw{sVM^^g&U1a|pcrpFpwaOt}xW~wtfGF?C6NRl4NiH9% z^y*;-6?#JiKymHSbexXt@w={BSLn4~8-#7CKra95hmJ?b$E+G!0GE;~i{46$35jVQ zf_&@qg%l}fyL5H*Y}v3t>Q}AqTD){a?8}5ttS9&3BJz?Y^JEp-@3+*AEY-(;Q8@jX z)E0|yurUIGaJWMkHa5rFDj{tXM&SMGF~`?Me!23 zcJR(RJyPnfhvH9$%8}$xzX!YtY*tcqABCG&3Cb&OF${HxJlf8_E>f8#dUtM8jF_Y< z9e-4aChY{SH}o+#-V;l_l&`E<)gt`bN?mD`ZF5gO222Hr|5WG4Wi4Ob-2_vuyvyLda*y!yii3MER~i(kPL@^8OnOQJuos zO~@#(eXr|-8kgu%fr;Q#GB=#sylO5>3Ny+D3!aXT%yZh%o=)}<3&L!<=_{7;IU9Mp zAoM5UY>j1=c74dM!_3dIJ287Dr z*Y>lm<-}%3lKYly`gG9e0-VCd22%fse&I1BykKUGzwT=TL##^HSL@6e=$@g4eVIA- zeEQ?dYzpqh#vWG1_m+5cS5!Ot53X~)`qed6(@3UfpacWGom+DDIU+!Cp6Y#Gj8zALkm)2S;2bYTWz zVWzec(=x%UQf92@wUD6oWOz!HzWAIUF1CSu&vTB_<4rrYY{8P}=KF6ZG45nA9rugd z7U=Gy!*2BG#vtzUzeEqJ*m)_*>WUA9D0;-mkOwsBFhHiNEN@X=g*>cq%PT=yDIgDF zZf>zQsY`cLBpLoNa27F$Y?bb66*r`{Q_h#fa{rLmy;ICZOKEp5cKsy_6J z$;oXT4fpy87=B!JtfH1P)kb&a?Irb-Y_Di{W!x?ZkaL)napP79sWYF|?lrF^CLZN3 zpg_yR0vNxC2$(nO=+dHi9wwEOH3B$>VSg`Fw$6Ejq6j1@D z@#akk%JnV>6^_(WXH$&aksJ^+N3Cq5s}lIc0U;%!170lsc7+tVr+e|SK~@VinH*vJ zW#UF{g~fgaL#_tDLozZZJsb?yYtj`v(41@pqkLu; zpLLEHzbh-A@R*!vE=<8VFKj6gYQ9@4N*A~~GuyD7LAJZ?8uel3nassPlp?g}$kdQq}j% zsp5EPcjrCHCUHvo;fUcI!FE2_W;wJ6@gEytmP44a+}0T<(;TkIs+6$Z2<*`GP48z6 zof6YsFy$Vci4_rhZxjGs>WtCL#s##^cRCX$B!IH4wiG8~=X|D9BAVnYV&4N^+q$v^ zWw1Cj-RK>fPIC?$EFrX#U`^K)94S&ndpqjiGd(&eTKYRufc$uf9io#0XW*<$eWLx< zf@_GtTK%|~1#_@_)o|vBCE03ke-}8f-(lY{>;_%!*eo6kq8x33GmtbT$^c_E5?ue) z_V9V+dakk>sw|E-J5ir9i8qfg4#Xju<@^ZzjI&EoSD(#nByJ(l;iU&gl7Lj)Rt_7p zlP8eP`e$&7R_OcvrVJEuluRr4jtbWPyepl!)c(=^-dLH%2|Q&(tkN@BR15l3kaInsb5@hSn&rdQ)9VogzI%-?z8HK7e?WvKqS0Gz0v`8cbwCpscacEV z)#fp#4ePKUEJt2J!$?%T+Qr{*nW_e#-d8dV1zy|Gsr5!(R;&Sgq8ez*E-gK^Sb+Y>2vZIY&pH}IYs7)yHKV?t0(lpAXiDy z2_AD>P0U26YD#xgdk;(E6ocjGEAis4E`6hd4X2 zC+Hsw7Hpqvhq@L283%y4HIAu2ayWnUeG9wZOwd07Vk#X!g*e$PIYD%dt`>9;LlvSL z#Ghr^d1JG;ia$FmxtbxyOH)-dS?q|;`+&7e8ipY2X`eWa7$KU{tE{-O`ul_ub1eoeOA}!mxDKh352TzX! z4{gle!D7tB8ikxKux($`KqD+izI{I*0*?*9&A>N{%TIk+>6q4PG-P(CxuSCN4xm6# z19b8|C9T=UEw-$yc(%CJ zd};Z{SNfGl z)5YodGcO`%GQ5E$SH82-!*OY=ioz?+bV_#}{-B23sT*0x(Qv84Z{%eBSif60-*U9K zm;E#m_hdW7!46rmuJlv&NnW~~el2?bXoef&#xO1K$<0Bk)A^NFX?9bdl?zX69*L=L zEEOBe{y~JxpvRb>K+e3$<5wsWW4FC|iO4q*^XbKda^NiyW6On#^61=$Yg7T;?*V}I zWS1DLG4sij)g~d5xB=A~LY2n#z{r)fZ@AlANh54G8MBX%6a2|nX=yeyJFE4QWtUhe>I4<;oZl0n>U=o)W6X zJzj-UwHBecTKMl*>BHeftnZD=_Te_I2mB-KhZX45EYv*;r9;~_Svgx90lzfi_LdeL z>q^P;uDdw9n9dx@urZ@Bbyb2)XFS+MukGd>T$U7n;FBkYpx>9HRa|gIw^e+=jUM7M zV)Cf`CoaZsv@aixItQ0gAbkyB^D%yfz$F%QUCji|?~BT*=rixnyKgBbg4C$^{_jc! zW1;-UPaeTgrlDUA^{moTR;r&PCk=S(-bc;h>5$X^!FV$5BfpRz@E5{vvW&Ay(!DVv&XS`$BvVIL9yZj&tUUN>&=W7ojXs1uIr zx}MxjaHyEn1jW(pN3Mez$8-xof@Au#UMlrWSuI9BiZi8EqI>rV^~F1vlR}m_4EP)B8n=Oz^K}inO42k>%{#)PGL~gA*Fn(cQMmyx z&dfKyLVW?LKlz!(r@#2Ljkq_~bKIt|aw)w0J~%#CCT~*F^Lt+I9hjt4oxW6f%FC6h z72cX5=dTS^438KNx>eh4Znu+Dl#MW7x*&Qzwg+V534+x{+S!jPigk`fd`zU|*g4^K zX0qi8YX1_ixED=K48`|TN%gKAjFP!h#Phs-d5Ne`me?u$98#k`3()>1JWtzVz%#Sf z^;7GoyzWMo&NM?&UG0*_TqH4BXQvi(CBJD)igM)b2aPw}Pqy?}iBr4J$`i2^(EPrz z(YPlSymkPR^HRTFds0i!t@U&b81{=iHQetPb?T_!FWOXW|H&a-^iQTPHUD(@GrY7! z8ox!7R3pDdiqtH>#h0loev5RVx19vx1t3X=>7Nf`+voUUUY~CD9%6Wi(EFF}-{YUn zKkyV;f2Dp=o$#$)afx$JoiKS{~%#fzFhNFH%;(;{Du_#CTwpFFA)0Wz^n z;KIpyZ|y;B%hVFm_!K3uo#U0dNOVKu?rKiwwo^1yeISIZNl>F~sHsXEV~RyA__+*M=_ z#J?m7@!fT4>L^DkPIndGdVqy9Rr*XbhiR_1x(dwkEy~s3g@9I%A4&{q&#yd|2nw(p z+cwTUgp15XqIRPZeLFW`Y1SfI(Uj|trVGpSVgyYCBUNIY=o>zbtkWaHe4g^|GQv&&M_{+_T+ zRVMxx*-*QvCCRqyEvn&_m}q=cMT#m09|_|+tEhw=DHWVzKfsHYiq~ zama=fwE$%Za?h-$!0wj|K;yixk~ON>T~R}y)>+Jc9II7qlly2%et}->Thkhat+unU zP}~)fHFrTt1LYOeGmDPrV3ys|H;|4qhBD^&*IoS^Q@33+QK< zkARKQCfVGXN~B{XN7dlo?+IoJXZQnjrl9g$xU&VHv}$VNWo zc*99-sqA2=2tfUERyWvnIojxYYB9zr%wWz{(Gh93*rXAV(fYII!2r*uNpL}@>aeQ3 zjy^I74dQw1MSICi+4oymK`e~IqbQRGloL3}nQEP$x9xSS4n zo22)d#lf;r)$(n?q>0aa&J`c%1nkD>`mrec6IDKEn@P8M8IxJ6+B6_3%Rd@tU^u7p6=vy-fXJhpLK$-18N4lBv&U!y%DSS)MXd8m+AaQ z@LAC=9n!}Xa@^|Ve$>C5m%(diqH5xM=av=h{(REN$F1fC*lYQG zbAqlO+x@CVwCnH6SIv#$6GLP0#+V6G5}8x=YRwnc1_Qw)4UM}H1h9vJwiF#Ok^=m2 zGS5|oggT7K4Fe zph-HoEMklf{;cf}t;SLBJ`duI=X#J1b##;JeFl+ioSrsA4*QzfZ|1EG=3KvIXsz7^ zd9_aI30}i;n$<9Kh zjMrqLZoPagcEP_y8sS}EVycesEdX}AsGy|9((H=g8nZx?=9{BgP+0=a!%zQy!`wwSWHTg%dej@Nk(_W$ZITJ($SrV_Voka5VDp zB4d8YW>u`7+!eI}Rqb*=+i`xz&l$Ls)1ffqd-K(2CW>OG;jBs?Ip0O4$eZli4uPKN z)(M>$J63jjIP)Myan3Uex>r4XB+VOdXVvf>+b`F9pkDo|v=FkZ?idbv?S!?v^8pGU z`>Q>JYdXo!md>sgAqj2=Am1ny0wh3QSKX}1qYx=WY@MJrU-7G43XMLH*-<$Rbg*d# z8K)RRsb+A2?>4u9Lk_dZkqn)qoZ8#+2R=K_qns5eU``k4=z#3Tzs{J1ND5_`)PNu3 zy^_3CMP@e?{=E|HdVlUMl%Z&=zSjQE8gBc1b@d*Hul1~VO&|gLaK+&y@>vFcd1jXy z!FV+oaKAJAFg|pYlQGV z5yxs#i>UF8O;qyuIto7{3)Ke4KZ6wDSD~BI{ z4)gDxw)GRpQ_&o+kG}ES0?|T11r!%s%vXJPsbI6Fwnd+bV8*%@$(k)8p{A=zNXBGL zVOP`b^x3+x3*Pcr2G^3L1)LFK_Wq)P$_2CJ|4AGu%q(_D4Q9* zPj2`Wc!e`f(J`wW&&W5Y*nO?&0(cy9$>X?m>>y|&kfpmnu6@$catlCM9bpVjnb=nj z29(v`ravSINt&TZo7T%r0Yk{ef!LWuWX9Zs{64CpVY21oMVn98t*32D!$p@>%I`u{ zUyY=_oMhLENsQcDzKMMcpdm}lSj^xP>LgKc=r)=WrY%a-3JlX7E?71CDD#6ee^g4$=H(~QW>IKd}l!!n5kOrHegE6ao=kw^wBi9NvO+)AU(t${Kp-B7Bm|Io)Y~%KSXCFe+|kK z>T*COjyo<$23-H1ZyR@v(#j0>;Odrs+0z$^oVuG{jJ$Hc^@T&soIytfe0QKWbHRcH zn&0_|Mk8HEZ!^iRGh^%h{4UfqMTUFuIt3C)V%J1vkDP!~F(ow}3pzTiHh>2|Z{I|w zir%QA76>RH_XTQI5+SDr!1e+ZOmd6n0enY!aUprr5>eH|Zbp0phSyP;1hoXO!N8Qo zLU5nH9&oPOCJel>X=P2$yK?1t>?cfdhPYSV0=3$!W`IxWce-fGw(3Z_4#2Mt?}n{d zd~ae3=DxYt-o}``vSNZa7LB9MYtG!qWCp4pf{nOgR!jF)g8VUkf4~CNe|Z6E*w$S} zP%b0{bu>*u=iPd{CLy@m%gl+7vPyvRdpn<6`5y66N+KsEkEN$gq6AJyBKu&G6yhGR zHRBG%3ha*Za^EwuR?@h`S4@T4&Z$9Up?FYI?xkWz%n;q;3w{HsTg}UT?==t*uCYb; zI07TiLZU1)a$0XtPrfKV#UMpPL&HQ9Zc9}oj*!}NLPtYGVxpnpKc01RvjbRISvZ)u zbGn$DHY7tFAl$gYuxnBuKeBuon&mbJS(qTMr(gH?DE<$GFQPNPdEbS-mtk+u<%sQZ zVjtO_jN!M~?aV=3_6b+$TmuQ(&Mx_tpmNrDL#9htZO1vjtI-Gu#MjGbHT(GooOiUC z?~S%T^=w!GUkUd4f?BJk+Nl}Au-)CuX{Tj}D?0&NC|s$}bY2qwn?w{B+%wx1tDaSj z)lB#UI~!;8?YGxITGbRp3cB4tP~)=F)YI=ORlmo8Jd>%y49NRHZdA-cB!&$wayNJ|O7qSfGB zCvsS=jsLI2u&U$`9NL_?h!2LO7m*|cIpQN(g~&sVFm(~7U%Ew~cxuR_HQv5%Rr*== z$=o0_hU~A&W^b7`wr!!p)_vK4g12CPjKv?L(e>7(9R{F*=(jQV-xKnGM)CroCs#}r zr`EM4%~Z{@=i|Lu)RY+ntu9ei`8x_b^R()m^pN6Cu1c>bKNMIDJ_2a-#s#3{9I8xN z&FixBKa@!@lm1FAdXMDd5e?{C=}mlU`tD1m)b#bX$TH;1(AkQ;3{)ZVSSnJcbq4#J zjVzhtgxEGMnf`*(mtEV<4@Qhz6d#jyZ(0YYU*~<`==xLtePiq8$BV;9$}_Ek&4j8J zJ+;+zsx?lqaj9Pei=kfRn;p%KNbj|C7gaR;6r;?Pq^ngPjX}&lSS4G+F@}FK(o;`H zU>u_+YC4;XNx%Arn)PJvx@2{mtKls-UqUQkl6M|`>O(m_mnRc&Jt~bX@ETzK31`|t7ZO+;>s&RCrD1#^dDMQ+bT^s zy`J%B1SRO`bHm|9Jy5}|Ya*GBw0?-t(24GsNMXwY-4ycgDl!_WdUXxF09Oum6+9$> zkTefDN0+celu{R19>wg9e0ety%5m@}pZQ35jSEaBe)#mP>g^#;?b9jwcuzlAUgmf? zeJkKvR!>!vj>31!V(;mDA4L{<-Uou~KGaVa9l4WF1ibi(X1S^zsCVJ)y>|?xi~aH= z92OUYUo2#JL&^)sh?@R%u$!7a5x>odZe;^%-39*sORjPnmH2*?`fP-FS0xJw@DotXFm9b2Y9Ch*w@&6;}WaPGaeXk?*h0dT^tQf){O zCr@?8^8?Qfy26(8{gK?fD@Ctfj2_lY1H8tzl|QEQg48s@;yq^m7Lc3Yd{J+7?{L(l zCjx9j-M0NhYY&B@oVp6Iz zyMM8RsAJ}YF=!j`B@Zh4(IZboB{cAb|Ada`IlLSHR)-FB&@zx*3{whn^sdocUsowd zcvzQRQrUy5nqFoYIh=pQD*#b1l|yW6E| zL)2p+fhUz#i9ew0VOO+dG#%|R;e<1{79(mV)+ZP&X2;NFu>4vhdtK1MnKXldz)4&Q za{Hu0;IMN+l4qMQn_fVIa|t5kO@b)zcZ`g3hjx_di&?_=5{QZ;$ki^c`y4V5M8(Y^ zgu2gIWt>T$dfP!BL|p2$Q`}vtZ!(&f%o@4%Ph=mADrhiF99_sS@@ea&RLJf-3q^<| zarijRoJc!nNqGuZXq^c`b9{CK2?U=Q?yV+8W9D7Ah5V&s+|0S*?c1yGyUdP#3+58U zhGA3gQY+;RuL!3(UPa0iy{hYSYGm`aCng=s`7^X+D4G6mY%R6%H}`|XCU%2O-KkDN(Ne|MctOa`+n+Y2TthsELR7HhsBa5D04SS}j zK6xMMsAK-Y;0qI}QMp*dU~H1*1nL8mQ#oU_rv{5j&Dqbf!Np{c^Q%t$@WtVG5;}DU zIDu4>RJlxY*-U;(p_+J0Uk0su{mVKT^d@NqBRYAcnQw-O>yA(%F63j8r1u34f4%&c zDfs}dave#JiJZB_R7 z41!?1q;uBW$p7)1l7xw*hr+t?p+A++V4BbuZG(0LdfuK^ z0Vab?Z#!vn%Vg(JL!P!`#b{C`nIF8I3`YsESg&TN0TzK!&js0b;>poRZD0r!<|2c> zRvm1#el-7b&kn%B`E9DZmvUdu=FAE+Jg>1EVjt*oa8>tApW#kWTSV&;D*$NIPc){T z{`sm)#xC}@ipRk6LGhxIitaphxGm5(%fFn$$x_9taP&8YlSC2bFvrEC^|V=dpwS*y zP__VzJ^>u`Ml{~mfB%-q^yU3H5mvdTxKOQwB)LVRZN%2v^X5jeXa_%AMVYzg#>cZ- zIkv)~y&>&SGwsDx>n2z#jFM7uy5>yM6-5a#mz`x*oZ1J^!&#$Kr;0E0kkakNRX2Ix8)SX@p8N_!i z8OF5TtC5rXlEL@pIpg3b-J?^BbKe_$ejQ|5kIs@UeEVZpcU%HGKHy%MwbpE^qCZNn ztvgbe&!M9UjiRm>x4glo+JD|di?S#Encz&MHgg#u?m$U*va5w&%tfaAv^(@V)@*8` zz*x=qm7GSZz|a|{;XA^VSA!O*CV|8z=Bb>@PD&qsPViwRbT^>0sX3Zv1W|1psDkn> z41sFtcHZ3u6Akh*+NoDResfQ9*Yed7_k|B>JJPG~rU#B-Lon>>xC49&gn3V!7N$AO zU6}@_@H7wFA-xts-Y;)(;W5PfwLCqo&&b^>IsRhevQ?iu5hfWK%eJfK1;t`IKVM*^ zpMs`_e*T&s%#~0*-iv#FEJNwF9NNm>w((_mjwXyuZpXg=%>le5%%fmir#piC1ustA zGbs|e!54AnmzgDB$F-4=(0@}Vg43H@(h+cEHmhA9(&{ERcP4fv}r%_kRB zS#;v0&?-JLM}Q2!cF>-U!43WHG!{q}xsc2IB1P=al%W=E{9wDB#dteEG}5d8lR#YT znB5dfyU$E}zGY)a$g%V9jv{D#)BH?ELn|??HhoKctid}&7d1-BBAlxz<}5h4zj%4@ zJH#^6z0jb+t%~Z4M$|xmgD!Bjo%Xt>u^^yY; z8H`Hbx8-G^SxL<|2}xF^qv-GUdeN#d)-*Nh+;Q8hz3i)&_Xp`~iu-FCwL9)CJIdY- zy_xVEZBWSDG5V7Gx zp>WWm6`d?2*~iHUQ*|+PYjMM&sODFkn{?lCAO6`|msocQ-$i#%d`s%__4trNO-?|b?BJ^38r@l@1W!9m#h zuwUgU_9FR#&@SA#m41Gst)xWqRYFIt@Eya|kXix)d2=uNx%0j?8QIA; z$~F&DZ6G%p75dNIZyVhE%4E3A_HR_GCP&wWv$@9Z^q0Yo1y$l>LO*QT6YWKTIF+L{ zOkjbhS(Y1PNXo6Y`wdEtVL!)SGsE>_H{d4i4P!+vw+)k3cF zq{O~*6!aDA{s9hCbJ)7IGU0A z98gSD;UQ>Ef4*JtKyD`~ydGQVyn|UoU<9Y3z%`+P5k*Vt8c&@fb#1PG+iaYnH5D zbYw$w{Ft8Rp9OLi7~%yR+E&ifo*&`n7QI?Xt%8FF+G@PNFl`IQtwEVt%3>AG{;D=T z+XpVadXCd~Y#2V*)3f|&#o5uA(|PpqdFRH8YIkZZ0gH2BZ&f`afl@u%Q&17!)u42} z_0G8|8B4_Rm9(8}ymv8FL6UKR^LxZJqV7VUs%c%4rj&er+Z+o^$H!3ob&B%M-;!>e z;WEJwQKAL;>-pMkZ|sO!1W&`K8|9Qb|9Yx2zKEkx?PcKk)`4k0yXuLTYX*%>`YU0B z$=7mq@z#KHYc|FM|LkP|2{+~!&{}caq|3zYq4@mOZvJ%5((ZIj=oXy$U|Xm6XR&YM z88i+1(o)59PyGX~!NqRUu=w>nk%H$_z2n$iOcFts%GSi&6WFz-+WU2mq)=Wbio<5x zVTWN4)rt_e5#F5OPYQ1^y1dh@tPET@vfj$vuyW}C@TOcl@&7Y}E6oVtCBS*_KB&>{ z7JZ5o;=H8}!2N~8vGMBZ#ry6Sc{$CIF(pD!d{)?FPrKksiO+x3$O0( zy;lXbtWarJa>|DoGI4qYr|jPsJeMl#vUW-a$<+tuwhgedRWYMy&x=1um)6%?FuPK9 z+g3dzJ3V+$q(yr7?n6!4dS5zL-eQtoU07N!DNCD{6fUu*9VD0EO@C*sw-)hSdzg)ugF z{PNT>E(aKQ5_%Kd8$dMlEeAD%7;1~{E2Fb}!HPFct5M)bLvM{r#X6haP+It|p4IP5 zz_8!I%QdJE)`eUQM_XDHokS6-Y7}=54_ye~4g9L6a~NCsyi^~xp7_@73A#Sm^ESQu z&-qX}Q<%;f$Yo?}NY5dSUhQbZ0-V>i^GCq?yF?K$HdU!Z`p)XSeJ{&tEt-)?!@|@0 zKn2*T_fyXp_@JOGx}O0_@9t+e+{}14o((e{qS1(Ob!!YDX7mwH@}j~ER>ppw_=>VW z$L_FF;iG_l+Be6QFXpZ6PO)}{>)iuYoNg?8a-wos45&sQ5KazmRp~!l%m?u+Dar6j zaVOLtFckn;BR|0Uj`wqO_b(1_UeZ!sTOWwJVluk-u#)A;VPyc6-K1cg!aF1$R;^{B zl{Z_6W3YZ2dE+$^LK=+>XQVwDG3G%RVf6fRpTmV(Qx9~d-otEQThI|h7tyPLgK?|8 z!b8j<0D4RNsVk&molKx+ybZwLBLY{N>U??xN8) z2kpX~IMB_O8x}h}STfo?bm%F|U^Zf^{_%t#W+1LgM#-O1wvwup2$gj$qnx+}Y*s#Z z9icY(%hu(?h0Qlt|H&@tGbye&%fZJ$D0_+gaZ%^$VEgtV!P0(w z&>0FNgZ7(cM1GtHsN{%w_JoiYLypr2W#WUZFtZ$T46@0Q08mT0dXeF1Y!^R`G}d|B z_*QgT-JzP38$agz_w!fr?MW_!S+WHe*YyU5)v`%3fpJaSRa396aq?jQIqruP{++#f z9PfWTK|>?@k8$4w;Oh2`(_JfC(Xp4CFc5Z22C!pH|3JVIq%ljvO!zo7X$2*FNNcmZ zn~RbnLH4vDL-z5TjI@e(?ae297SnQ(*@FbY>%PB~u>5ZXPk-APZR(MY;bO3hRIk;s zM&y^CE-9eFT0QzF(u}E0hz(pPTJ2(d=G%^L z3+I;hLxI!aV;iu>q5BW5sqIJpP-XI{U1^}*eGks_3=;YdWwejs3ZNK^!OF6-tV77bF)XoFMGRxgOmx{ z8rYwsp)o(^f0*e1Kh^uCJ!1bCFKuCN`EP8{N;Aca$6t*;=6{OuPkKCj6nOS1|9`Om zT~FW5{~zgPPt^7?9=XP!Ng>OQ(x^}KYKr>HUfR`sg{Qm>*6-Sl; diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.pb deleted file mode 100644 index 4526e93..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.pb +++ /dev/null @@ -1,2 +0,0 @@ - -2012.4’)Timing analysis from Implemented netlist. \ No newline at end of file diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.rpt b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.rpt deleted file mode 100644 index f88e755..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.rpt +++ /dev/null @@ -1,173 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ -| Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 -| Date : Thu Dec 24 23:25:56 2020 -| Host : colindrewes running 64-bit Ubuntu 18.04.5 LTS -| Command : report_timing_summary -max_paths 10 -file pulsegen_v_timing_summary_routed.rpt -pb pulsegen_v_timing_summary_routed.pb -rpx pulsegen_v_timing_summary_routed.rpx -warn_on_violation -| Design : pulsegen_v -| Device : 7z020-clg400 -| Speed File : -1 PRODUCTION 1.11 2014-09-11 ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ - -Timing Summary Report - ------------------------------------------------------------------------------------------------- -| Timer Settings -| -------------- ------------------------------------------------------------------------------------------------- - - Enable Multi Corner Analysis : Yes - Enable Pessimism Removal : Yes - Pessimism Removal Resolution : Nearest Common Node - Enable Input Delay Default Clock : No - Enable Preset / Clear Arcs : No - Disable Flight Delays : No - Ignore I/O Paths : No - Timing Early Launch at Borrowing Latches : false - - Corner Analyze Analyze - Name Max Paths Min Paths - ------ --------- --------- - Slow Yes Yes - Fast Yes Yes - - - -check_timing report - -Table of Contents ------------------ -1. checking no_clock -2. checking constant_clock -3. checking pulse_width_clock -4. checking unconstrained_internal_endpoints -5. checking no_input_delay -6. checking no_output_delay -7. checking multiple_clock -8. checking generated_clocks -9. checking loops -10. checking partial_input_delay -11. checking partial_output_delay -12. checking latch_loops - -1. checking no_clock --------------------- - There are 3 register/latch pins with no clock driven by root clock pin: clk (HIGH) - - -2. checking constant_clock --------------------------- - There are 0 register/latch pins with constant_clock. - - -3. checking pulse_width_clock ------------------------------ - There are 0 register/latch pins which need pulse_width check - - -4. checking unconstrained_internal_endpoints --------------------------------------------- - There are 3 pins that are not constrained for maximum delay. (HIGH) - - There are 0 pins that are not constrained for maximum delay due to constant clock. - - -5. checking no_input_delay --------------------------- - There are 0 input ports with no input delay specified. - - There are 0 input ports with no input delay but user has a false path constraint. - - -6. checking no_output_delay ---------------------------- - There is 1 port with no output delay specified. (HIGH) - - There are 0 ports with no output delay but user has a false path constraint - - There are 0 ports with no output delay but with a timing clock defined on it or propagating through it - - -7. checking multiple_clock --------------------------- - There are 0 register/latch pins with multiple clocks. - - -8. checking generated_clocks ----------------------------- - There are 0 generated clocks that are not connected to a clock source. - - -9. checking loops ------------------ - There are 0 combinational loops in the design. - - -10. checking partial_input_delay --------------------------------- - There are 0 input ports with partial input delay specified. - - -11. checking partial_output_delay ---------------------------------- - There are 0 ports with partial output delay specified. - - -12. checking latch_loops ------------------------- - There are 0 combinational latch loops in the design through latch input - - - ------------------------------------------------------------------------------------------------- -| Design Timing Summary -| --------------------- ------------------------------------------------------------------------------------------------- - - WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints - ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - NA NA NA NA NA NA NA NA NA NA NA NA - - -There are no user specified timing constraints. - - ------------------------------------------------------------------------------------------------- -| Clock Summary -| ------------- ------------------------------------------------------------------------------------------------- - - ------------------------------------------------------------------------------------------------- -| Intra Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------ ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - - ------------------------------------------------------------------------------------------------- -| Inter Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| Other Path Groups Table -| ----------------------- ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| Timing Details -| -------------- ------------------------------------------------------------------------------------------------- - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.rpx b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_timing_summary_routed.rpx deleted file mode 100644 index 3291a3a28565eac440cb90a57c80a20f5c377fb9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4414 zcmds5O>7&-6`onzk~(dj^;k|+#z9`xqL7M^BB>wCYJ}Pn9g~f05tMAFX$yKqj<^dg zcQ^Z^p8x^cLjfO+9@?M<0wX84haf;vG^aMlpobtqFFv|AAKjvd=9IqKKkZ7ERUKOb zB8G3?y!Yn)eDj`OC4`L7;!v$poheU`e{BR7z{LbZ~Sa)sngDpW{{sr?pk@~ zmxak_4>U_N_dLUcP1hbkbKsa_AS_?>z!JV`cz*d+H9m)0_-~cBhEYvtpzUs)_Drq2 zr89@V``3Rq|M3fo-*4Zgg?n`91NsN*3deT+jvt!U@glpRgeNKO4)UMC^;L)Ga^sPb#{+uluV@^&9yojxd`!tF zlzd9b=af98^bsXbD1A?%_Z9j;As;E^V@3HyA)hMbbA>!q=p%(bR>%{D7F$dyRXHgp zE`qO;51lw&A@d`b9}hRkoAeIRi9>eD&nUS|$vsNmqvSp%4=4@Q(?mTT|8s%8%!b;b zEBX02W^s%mBC}C8R2QDnw>aDPBzuFMYH6;|m+NBN=!(UQ?^J3PfEI>K>x;#%*`KN4 z?=?q=-eRc=6Rq}Y{pRv|bM*#P%hf8#yed^Lm8#WAw$6rc3D-jx@#ZaKTkF}djr;TE z8cfX1lr{{15=GsHrNA(IP^-<(&&|w%ZNa)8zz+ipsxv5Wp;B9zo`>u8bt!zF0XtRK ze8I;gS6y_WhFsGNwb_N)IpnBS*k33cUbZo#tseiM%&6~?+WQ4|rmKtYRusLqv&+f& zDjTuvPS>=%Tg4x=MIQ@IxKk^d-_^k}EDv@JUkA&EkOg|Ku`Mjv*aO$L{g{Y+3%J~x zP#SccqY~Tle9iJBu?;eQo}CR7qO)W4d_5(vFbJ%W+0_h7^g0ICyNfu`5mwK!@t0Tp z&dn?bw4_O!whLIj#vm9#PngW25PI#)sT|iu?F&wuZ$oTmc&XO@o`{czE)} zISvzz=C#J8y2jTtZpcT5Ut+Tvot2|?=FgSYS>Ab8SosyUc)VcUz}mGCO{3Q*Dmn-~ zrY`c&=n0a8H&{KRa5{3AuWNqjO-n+6EcU2=4;N-Raaa9794aT31ie6jk1!NtO9VY- zjKhaC6Nr2Y^Y62Z8S^U;rWnX}U>^Y@T8J3nIihQ98u-$y7x^vLKGETgz=yySF6f#E z8f1+)i(c?a&&2BEXG!-8k(9ya2BgFrY1hV$XjeBXL0_bx#RzhvFZxn zV*Qgy3?B;(qE;(;GQ_3?clih#J|J{EuI*@j>~jzcd>uQhzK%O;pWn;`G7l_#fz9RY zII@b9bCGhdtj=-$8L-6PVApbjQ{0LLpoRHlw?tPqrI?CZd_m6++^#6A*Z9?gD95MS zR8D-?9&8v^*yCwtgoK!ss6^lsBwMGlI>qli8yxv%_F7Kpp{$M(HBR?Ksr?%N$uR(% zNkfU6SgrddlL*hHQ?77&N8s}cYvk-c;Eb^62p@;MOVT8X5IelB+9-aP5$tdk%Ns9T zZ@e>6sF0;|A;`tOJEE?%dg<4N5z19|YR$BFc;QFFE6OiPd0q4TeM<4$?7~$`+rT;C zx@-Vo*>>^Ghb!@55^=uF#$u+H@H`wTyaBeq1AALDBZg^q;m{6pc(xhH&YqvUA<(6s zkKzXdtce?TPvBi3mfDp43-+Mc)!_H0hL*sx?9RAy!!80VCfZSk@L>u!Q65}zyI#og zB0FCa4gY85+h9Dc$>RW=cK^j9_4+=CSjy9OOF@t17Z zwRdEtuE_A9-%f|PHonAOjE^ka)~3-9usOo(;Z3E}4+drwVH=qeV2C2qeni<9G>js7 z1!uzWGnp)^$>LJ7xRNZI$wDV@C(C!`A{}FJhWQ;$w*DBd(qZZ$C4W!%9?O}Ee3}0K zxssf^tB`w2GBtgLW7q!rerEk3vwotGe=B63TqX_DB5#sA?!>J$79*j^Y|}- zsLR1eQo1P0EF5a-E*Zj5juccfM$X6;0U5d}w;0OypWsa52ChMe|O Y<aN)fBQ(ms?$~JF>7=S{1g&8jRySIvfM0zEGA`EvW89ubTEtLYX*UB?6 zC^-HFlE0jeDgXr>&#HpN=c+L<@HjsOk_-&JMj-Mokc@F&Y7AnpwP0Xqu>T7r8Jvz< tGB9{JOtRu|3UzaL4hix(YX?#?*B+?E;VF=0V0h~SBKNw2L|WZIYygIYI~D){ diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_utilization_placed.rpt b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_utilization_placed.rpt deleted file mode 100644 index ec33d9f..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/pulsegen_v_utilization_placed.rpt +++ /dev/null @@ -1,200 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------ -| Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 -| Date : Thu Dec 24 23:25:15 2020 -| Host : colindrewes running 64-bit Ubuntu 18.04.5 LTS -| Command : report_utilization -file pulsegen_v_utilization_placed.rpt -pb pulsegen_v_utilization_placed.pb -| Design : pulsegen_v -| Device : 7z020clg400-1 -| Design State : Fully Placed ------------------------------------------------------------------------------------------------------------------ - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Slice Logic Distribution -3. Memory -4. DSP -5. IO and GT Specific -6. Clocking -7. Specific Feature -8. Primitives -9. Black Boxes -10. Instantiated Netlists - -1. Slice Logic --------------- - -+-------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------------+------+-------+-----------+-------+ -| Slice LUTs | 2 | 0 | 53200 | <0.01 | -| LUT as Logic | 2 | 0 | 53200 | <0.01 | -| LUT as Memory | 0 | 0 | 17400 | 0.00 | -| Slice Registers | 3 | 0 | 106400 | <0.01 | -| Register as Flip Flop | 3 | 0 | 106400 | <0.01 | -| Register as Latch | 0 | 0 | 106400 | 0.00 | -| F7 Muxes | 0 | 0 | 26600 | 0.00 | -| F8 Muxes | 0 | 0 | 13300 | 0.00 | -+-------------------------+------+-------+-----------+-------+ - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 3 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Slice Logic Distribution ---------------------------- - -+-------------------------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------------------------------+------+-------+-----------+-------+ -| Slice | 2 | 0 | 13300 | 0.02 | -| SLICEL | 0 | 0 | | | -| SLICEM | 2 | 0 | | | -| LUT as Logic | 2 | 0 | 53200 | <0.01 | -| using O5 output only | 0 | | | | -| using O6 output only | 1 | | | | -| using O5 and O6 | 1 | | | | -| LUT as Memory | 0 | 0 | 17400 | 0.00 | -| LUT as Distributed RAM | 0 | 0 | | | -| LUT as Shift Register | 0 | 0 | | | -| LUT Flip Flop Pairs | 1 | 0 | 53200 | <0.01 | -| fully used LUT-FF pairs | 1 | | | | -| LUT-FF pairs with one unused LUT output | 0 | | | | -| LUT-FF pairs with one unused Flip Flop | 0 | | | | -| Unique Control Sets | 2 | | | | -+-------------------------------------------+------+-------+-----------+-------+ -* Note: Review the Control Sets Report for more information regarding control sets. - - -3. Memory ---------- - -+----------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------+------+-------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 140 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 140 | 0.00 | -| RAMB18 | 0 | 0 | 280 | 0.00 | -+----------------+------+-------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -4. DSP ------- - -+-----------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------+------+-------+-----------+-------+ -| DSPs | 0 | 0 | 220 | 0.00 | -+-----------+------+-------+-----------+-------+ - - -5. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 10 | 0 | 125 | 8.00 | -| IOB Master Pads | 5 | | | | -| IOB Slave Pads | 5 | | | | -| Bonded IPADs | 0 | 0 | 2 | 0.00 | -| Bonded IOPADs | 0 | 0 | 130 | 0.00 | -| PHY_CONTROL | 0 | 0 | 4 | 0.00 | -| PHASER_REF | 0 | 0 | 4 | 0.00 | -| OUT_FIFO | 0 | 0 | 16 | 0.00 | -| IN_FIFO | 0 | 0 | 16 | 0.00 | -| IDELAYCTRL | 0 | 0 | 4 | 0.00 | -| IBUFDS | 0 | 0 | 121 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 16 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 16 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 200 | 0.00 | -| ILOGIC | 0 | 0 | 125 | 0.00 | -| OLOGIC | 0 | 0 | 125 | 0.00 | -+-----------------------------+------+-------+-----------+-------+ - - -6. Clocking ------------ - -+------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+------------+------+-------+-----------+-------+ -| BUFGCTRL | 1 | 0 | 32 | 3.13 | -| BUFIO | 0 | 0 | 16 | 0.00 | -| MMCME2_ADV | 0 | 0 | 4 | 0.00 | -| PLLE2_ADV | 0 | 0 | 4 | 0.00 | -| BUFMRCE | 0 | 0 | 8 | 0.00 | -| BUFHCE | 0 | 0 | 72 | 0.00 | -| BUFR | 0 | 0 | 16 | 0.00 | -+------------+------+-------+-----------+-------+ - - -7. Specific Feature -------------------- - -+-------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------+------+-------+-----------+-------+ -| BSCANE2 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 2 | 0.00 | -| STARTUPE2 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+-----------+-------+ - - -8. Primitives -------------- - -+----------+------+---------------------+ -| Ref Name | Used | Functional Category | -+----------+------+---------------------+ -| OBUF | 9 | IO | -| FDRE | 3 | Flop & Latch | -| LUT1 | 2 | LUT | -| LUT2 | 1 | LUT | -| IBUF | 1 | IO | -| BUFG | 1 | Clock | -+----------+------+---------------------+ - - -9. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -10. Instantiated Netlists -------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/route_design.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/route_design.pb deleted file mode 100644 index e02a8364be0ddac8968ea52a9221234b9c7f26d2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12838 zcmeHN&2Jn@72mc!W41Pguu`&%vs!8s%qGS&(;xF`v?z(~WW$ohINt1Ht=4K!*VwJ} zbT|Fsk8C*Y0Rb02E@%&M0KtI+7q}rIE}Re&7Z4IB{s1oU2k@)v>2bHa#xq%xkX4W( z#Z%Q?_4>WvdsXlE9{CzMwe0o#rfV(H`H-BMnVV`D3(xM^d#2?@T}P+nRN%!C+p<__ z@3{J`#d>D!L`&%(o27b1(~R9`{F#H9?p_p7tkIvqc~vn)%oyMitTF{v)CS<5JOy&X+v!4x~+Svt`;XZ%UY{q)LU6Of2a`kh8I1UTqVe*sSjJO4&*8wloI{?(48N!uIE}d|8%ZVt`?{*HJYwJn9*kH6TXUERECsB`0rZQ{mj9@8WJ;sO@6j)kPhE>`$D->SbY)av zNIqK2e)KY_CR=Ls*!%Q8UEXEgyJ6g4qMFrKJ3W>4pz74qvdjEVAu~kCoEP`U3i-CO zVfPum6kcAUT|cI;QB_^SKch~+NSR~$AvBy0>K$68w-}&tNH5*GhF5%M-o>=8H#*fu zql0&LU|x*W^=hl3;&(4#jQYEWq1`ndOlZyF=$;)!u^2S;hN>0ymi>mk$<(jQ)PI?r zF?zHb&EOD!5eW4^?-7Eok%^dFDpWwq^Br|$dio8Yg z;&uCgZg{@ucsqx5Ipczb6G8U%Z<0;|LB7GLrJgi2Hk;K(y_G>@r`j^wGBg_eDS^=} z8tbk4$!LrwHHs9E^W@WOfR4Z_;`&}5+fLCcun8WslU@?YNy>ZAENfTj*g#EhC1?$dI0Uqqe8uLvi8FXq5`f6 zb(!`*Po7`5{Yvc9tGK4FGjSXgx03~hc!F(lLe%9a1UA0mc-uIKZ{ma$=OUFS1cj4v z7>)SS#YLVr^RE&$afCW8n(}E=#{CIE314W)e4$3pufVSld7?{i-(~ystC@S#WKJ=> zw={6$9=X=jW)*ibt2AX+d4+s(%?l&?x`#vtH^Is5Fv*F8;M9}{C+wMSC&JTYdk#+| zzH09Mr_J71$QP23)TBAvJ#BjMCc}M8hWiWTbaGP%F~d_wHW>)7lEp;zt-(bf_ zIxfv79e2Ad412NT9CDIX0XHq6&Iw9E2x(2^cte`_qp*38N)Ng!W%KGS3FDp3Q#Z-E zb;cQK4yHk!BdMr>p=}!}i8)CbW3z-xMVoWQLk;~wAveJI-UNFcE^_YoZ8PBIf#k<> z01R_7G94AyOgxnk>fn{TUhEnXjRA-#2eX_au5oJp1>=X_X_O^q1dM4h3Y zORnD|bC3+xivd`|99Xz;xx&Es@)O@7SIPLt6ba_T<18>X&F0qlDQAAga|6y-{C%A7 zJsLbBGB_1|YHqrYYC@)7B``mzn=Q#g5U+Y99r_$8Csd4N z?gcUpluIT)LrR7&MLLr7R;48hMyC!=B}J+?$OW*-NAz*R5pOfP4>(55r68!QXUcOk z+VN7!<}5hrhOViAc=@25EVn|=zs+$B_wgOG3u@akBXb+&iE34#b_PT{N-jwm5_J|Q z+?>_biq`Jr$||psbLl$otgK!aXDk*KOHAt;>KoY=%2)w|Sx^2lxhPwJf^8N~#!u_P zYHCNan#$`(!&2y|)k2?j!P|?oKb1|DtR|E+T?z{W!(ELJXkZ@9O}bkXq0<+qj1l3u|FXW{bhgWWOUu1DA@ZXxb4xf{lxC4Td3 zh5SU3I8L8MyPlQQDhm#jnH=LxBc7Bv)`%y^JK5jHI@vE2@&jd{s?0XUz>t}I4BsU& zS+OT+mCUbrE~6of#3kdMm1Ri@T=>tF(O4)a<#A*y?TXRJg6p9z^mX#NwBzPh1|+i1 zo7TylH)V9*Xhtq$_|p{8k41}h5g*a+a0EzG5mK0PSV?!q)f=`Q^86QtdYxe)`&r_r=59eAR4zYrw^3jqBB@Dx8|efDVloG zjGTFpl(9z;5+jBm3Qv*TKLrqqVix`U`w~Boi7B~5Bt$}pzSlS`I(v4uD!GT}=Kbw4 zSM7gEr230OelLqY$r-sdh$FOu{=_ZcjCMn+sYN;SPp45wBCz~HNqCY!$PxL}T2V8JPWWX>g^U>L9yIw$s31b>$=l{;1cmC6atcs>BkO> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -# pre-commands: -/bin/touch .init_design.begin.rst -EAStep vivado -log pulsegen_v.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source pulsegen_v.tcl -notrace - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/vivado.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/impl_1/vivado.pb deleted file mode 100644 index a2977292365523c77cf419fc758f1ce6001281dc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 149 zcmd;TVB`{Ut;j6N%u82LEmlY@0g`%pdRzjmQp`pMW?F2?`MJ6Ic}7xPY+;#Yi7EL; z>?x^fiKRIuRxpN<4wpnoMyY~JYO;cniGq=_m655HsfB`(0T99z!Bt1G8Jg - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/.vivado.end.rst b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/ISEWrap.js b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/ISEWrap.js deleted file mode 100755 index 8284d2d..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/ISEWrap.js +++ /dev/null @@ -1,244 +0,0 @@ -// -// Vivado(TM) -// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 -// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. -// - -// GLOBAL VARIABLES -var ISEShell = new ActiveXObject( "WScript.Shell" ); -var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); -var ISERunDir = ""; -var ISELogFile = "runme.log"; -var ISELogFileStr = null; -var ISELogEcho = true; -var ISEOldVersionWSH = false; - - - -// BOOTSTRAP -ISEInit(); - - - -// -// ISE FUNCTIONS -// -function ISEInit() { - - // 1. RUN DIR setup - var ISEScrFP = WScript.ScriptFullName; - var ISEScrN = WScript.ScriptName; - ISERunDir = - ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); - - // 2. LOG file setup - ISELogFileStr = ISEOpenFile( ISELogFile ); - - // 3. LOG echo? - var ISEScriptArgs = WScript.Arguments; - for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - ISELogFileStr.Close(); - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.Close(); -} - -function ISEOpenFile( ISEFilename ) { - - // This function has been updated to deal with a problem seen in CR #870871. - // In that case the user runs a script that runs impl_1, and then turns around - // and runs impl_1 -to_step write_bitstream. That second run takes place in - // the same directory, which means we may hit some of the same files, and in - // particular, we will open the runme.log file. Even though this script closes - // the file (now), we see cases where a subsequent attempt to open the file - // fails. Perhaps the OS is slow to release the lock, or the disk comes into - // play? In any case, we try to work around this by first waiting if the file - // is already there for an arbitrary 5 seconds. Then we use a try-catch block - // and try to open the file 10 times with a one second delay after each attempt. - // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. - // If there is an unrecognized exception when trying to open the file, we output - // an error message and write details to an exception.log file. - var ISEFullPath = ISERunDir + "/" + ISEFilename; - if (ISEFileSys.FileExists(ISEFullPath)) { - // File is already there. This could be a problem. Wait in case it is still in use. - WScript.Sleep(5000); - } - var i; - for (i = 0; i < 10; ++i) { - try { - return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); - } catch (exception) { - var error_code = exception.number & 0xFFFF; // The other bits are a facility code. - if (error_code == 52) { // 52 is bad file name or number. - // Wait a second and try again. - WScript.Sleep(1000); - continue; - } else { - WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - var exceptionFilePath = ISERunDir + "/exception.log"; - if (!ISEFileSys.FileExists(exceptionFilePath)) { - WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); - var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); - exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - exceptionFile.WriteLine("\tException name: " + exception.name); - exceptionFile.WriteLine("\tException error code: " + error_code); - exceptionFile.WriteLine("\tException message: " + exception.message); - exceptionFile.Close(); - } - throw exception; - } - } - } - // If we reached this point, we failed to open the file after 10 attempts. - // We need to error out. - WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); - WScript.Quit(1); -} diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/ISEWrap.sh b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/ISEWrap.sh deleted file mode 100755 index e1a8f5d..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/ISEWrap.sh +++ /dev/null @@ -1,63 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! -if [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi -ISE_USER=$USER -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/__synthesis_is_complete__ b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/__synthesis_is_complete__ deleted file mode 100644 index e69de29..0000000 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/gen_run.xml b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/gen_run.xml deleted file mode 100644 index 896b268..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/gen_run.xml +++ /dev/null @@ -1,40 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/htr.txt b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/htr.txt deleted file mode 100644 index 0fae7a7..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -# -# Vivado(TM) -# htr.txt: a Vivado-generated description of how-to-repeat the -# the basic steps of a run. Note that runme.bat/sh needs -# to be invoked for Vivado to track run status. -# Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -# - -vivado -log pulsegen_v.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source pulsegen_v.tcl diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/project.wdf b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/project.wdf deleted file mode 100644 index e679594..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/project.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:32:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 -5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3637643230663563383234333433643038353837316264656633383530323237:506172656e742050412070726f6a656374204944:00 -eof:3429047775 diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v.dcp b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v.dcp deleted file mode 100644 index 489d3203773bdf404e67acdaf78adc6176716a6f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8032 zcmaKx1yq|&x3+`36o&%EU4mjFkf$ENa$sIfC;9v8*HH!2MDQV_;7Yi+%3GmBC1XZsHg3Q!Ub=4Nu{T0sip2%mkVlGltpwurPRSu=J zl6|r^h-_a5j&Zk$!)htK-R12={D}Y|8V8G0)65j@^eThSEO~Q9W<#G^n0nl%dz7_G z1|o$=gMz)by#?Qw(2a+0<~Uhc(W^>JrimNN*QD_c0qAT!I{gk*VM`wazsUBRCfPpm zz*BX!-^pNb5V{n3ZyjjZv?8fDzu}D#bmZI{2cq79`b+LrFXFfwjM`Q8%7u@ck`7Tn zr$W*pS!58C5klii?=G4xfL-5BysloTM_=@j`r$ zwlj*6z2oc;+6;y&f31z;LuRoBJOHrI005vruZ_J6$jRK&+|JOI#oWwdCQaXIffpzE z_?(eb544)s!wnlhJZiuhJ52&jPo6sJ{DTw*x`vIN^0kbs<IiQD+ zo8uu|J}{69Q%_#=>oWPB#fsIR2QFM9r%0VnDkZE-)L)hL5Y{{qJXu?tN`)_ey%jrX z>@JI$g`J%!C55m$-29@%t~hG^!0w+_ILo#~b8P3%RLc?lQ{2=ff#-V)#Rti8tlw5J z*0=3G>pB!`X8!tpnQi_I2CRLt&a0@#dlDQS91@>{qG671Ggte4bw%xG58Xnx6~_@3 znFDnFa*xTzFh(0R+XVJfWAewt7swC9*{f+W4_GGr9m6n=L|noVGfwOfl=DN1RLA-5 z@JUaEk@9{`$p}+SiUi{^JA!(9tvSeW0zdM#>Y@$}m_k_lR^ zzgF!+$L0!~*e%9?VuPl{;Vj;DJOZE8rW^&)R($k{t z16$Oq8om%%Jub9TACsaRx*Zc!0V^AFuLGY_ZgTDsM*lejF@8UA$Lv+@)i*Vm4YcSC4Pox?W{_0&0wgHnaJ(} zFu#PL?4cpfM3(&*JB+i~CUdJ{wSpi||H-kwg-SfS$6>lnh@1IMqpcdQb)_X;#N!ei zCDT#BeE%ICe*J>GR4ANtNB(NemqpFRZhceXc!~Q1{5Z*&&x>)Fajw&CF>eLkwsl7r z4Ch0MRla|_(#+Rn=TS)RZZs|};N|JPhJ5j8wL*fq*L18-FUhMm^r++K6nTrAoGtpgNz7_W`JBHeo8i4Y?96e=sPSnVX!frVW(GGUSmmW~Q%f!() zv;1;6Irhd}Pi)JumwI~Xxlyb8+(>iXL*Q-&&Ov_b@S7bvXL9N-#rs>J8k!RyndL^o%c1ogNsXb%@ zL(TA0Fny1cd*-y-@m!zRK~lF|lEYAntYp|Lm6}Gog07qBD_8^LyS)pMopY{2cN{hb zKR;un0Od(gL`yMdAasGkrWZ+QYQ#?%^6Jt=?2-_{?FF4qK;n|_s_$IIg3dkB2IeRo zyg3vlek2~|lE_u*0;-q9IoyR;yJ1%GNpzX|PA;EP+b+_2X58i{%&>=7SL{;#nAj`V z@IRg0ZmiI6wVrk##obcoV7Dr)-)lELWGQ4|bal{{nbHu;wHY_AoDEu3upSV3W!60X zM73QXPEWgHS&B2S(0N4odq)adZoo%)RttmA^^b=5cSkaGa&|FcakVl7*(xi3fM&yK zhG-90is>(@eRWYbNv1%=V=SzXJ^1ngNF{$}vh2IkfY20P-#6U+n;@pr*%snbO}kl9 zy?bLFKX&phs;)@-D+p|Cj9On&7`uWzKYiJvnRn9m5^)`5-A^PVoU+@te2Em?7ftnmt`Yo z9>4vr61^zDW#ac95yxB)Mw?$(>0%VN92)ws3%i9pqRY7X{k$~b5=tko^jeI3|4y9q z8;lZtBmiLZIcu1IC62|(%Gll<{7ygewKnb*$rDLT-_>R2hy^!ikF|A^ZG!XRGKjk- z@z%}Om(_tLX~P*^c&O`HWR(L`uP>rk9x zoG?EPhEp|6tU(xzt)=YLQznlcsW9)hhb`I_)F1t>mxTk(8F~yRN}P#4mD(0* zWGaY;QuFsDaroNXodV%HBdwtzNe~D+Q-O$Sn4FuOk|o)2JX+Hx=Yd?UPKKg*;4U;u zihpt1k(L11h6jc%sb-5HIZ+|BIx=Ld9;uz(=D-PQMaeroCqL79e}PS=s>bkv543|)dL!GJ!Y=r-#_eL|aC=ka^Vgzt%Y;mDmK;Gy4@vaaR zw8oX=YMeZ2kF9jwk*?Vjii;}L8B?}-P_{S{{=I4ykDJW$b?y6=K&1Lxa6q|krXv?j z{Xn>SO2>EYSK;hyuHdeLU#&JBEZ5qybHH!L{2a7L<{|sg=}?v)5!}RiXy{_$oqVt41~ik?RJF z4T`EHTLwjZh^CR6_AD}%Mj`!bLvc=o1o#bQz@SX`{-fr%%lSZZL~B0@XxBrWPA%Yt+u=NZHm!rlS%Dew1q?$&z)FwV>3XnBNKp?AKK|J_25i--kN; zJ}dkRyt@gT$#iU)v(rvT&q_d&Y!lYZL6tM$@Jy$V7~w6Hs#pXimfGAmdSAoPq(_oszonzBG8xFrXFM^WZoX*!kx}L{r>%hKy|o{Lw%xJ6}2#8)rt?tQ;+p0OzMtn@~0ZEa_@4r__8qM5#rk+l)AC=AVM~hN^WFAJPS>xPpC@j|klKng9-lIfI z>=SxCTg9k8Nks&|RaA{kZBshXM*qw}|B5NBgbgO6BCckgqF(SoOHxMfotRkIyjp5VSdmt;_ zB33kyA!^KZ@MH$idIvgX<621@96_9R29owsZ<+y}Kp5r90#Jrl#EED~!NjQC&;@Hyw%3W~f;L4}-M@@N0r!Tc7g9okNA>cL+O$pX7H?0)=FKrFJk7 zmlX`jFAUQ1#;|X(aM4hC)dZHm3pN726)|BUJBdD|DVgLg|h zIPQzduk0&h74&Q5ElExr`Gw@^HS!wESb~tU@hr9j!j5i2Yd(K#G}h@rUtoWw$@noh z?;Yx`_vdk<2bNqK@6eyS1aGBx#*j#IKVmK9vXKsUO}Az#*9xp9c!^}EY!T=QoipHf znpHerX&2QJcpVoNf9;wt({3FLLPYmH6VT*yHXp z8T@GYw7vUbe`*%`_T$CA@11hi<9wU@?b_vT2+S=$^zYx*MNjMI%EDDb4>BT8BR&tl zmp#5cQ!(Yr!bIaw;%$$6)CMGHUMpu8ru$Pda2G4c-B0)X#|^(d7N=q=l!Zyh+X(uf zP_mxKDZlwygaP#+48M0S(Aw(TiZmVL1Mv1?%<(aKw?Eakxlg;g-UNv$y|`K1-$yaL z?vmz*FB%K`#DkwO>jy8Pk71&@QnU#0q2nxM}==DTP{DwX=??f*Ydc`Rh zcOD~kUz28U&mu?r8eC(qlymx~J3g{fjlSO$S=Dp**)njG^=;0C&VZkI9xXuC*CgfG ztoYomeD3Z&!=k+dL&ELWn1-g5r8RBxm{R&OZ*piHaE`rHcgXEYQ9IJD2{v3yXF;2@iu;I=W(0M(#^m5G0v&3q?Zo z3@+cKh1U(e4-Ut?Z!IOTd5@0?kuEKyOHizt)5QJ=$AS;ulBMk;J5lD2wIVEztsvb1 zbDbxw+TGnFNa|}-irw%VJ|B0u>kTG;529K-qVcIZAQo|bgwEpIrskRmU7kBvfkcvb z^>=2XaY!G^l%wg@>W@waR3&M?33$iftrZyCo)0)ke)(#gy;Ra)c%rRq*FDHDqV_&ff2@9OuE=eU#4^@XeBXlCs6|KnmfIynCm z?}F=slOT!=!-gn%{dR>4dlx>jJ;QX3kfzbcwaC$P^#IKz1iD*SiSRI?mgBxplTT zauMX!EW!AZX7(w{mp=qYCR?LyZZBCvxiAmxo%1#*$6ItIGY*eZ1J*A$DDQK>%Xj$l zX$gh}H4e(SJ>F&~jIAZ)R!yIzV5Fpvnti|-@c4-lVqgdIOu$bAL&**pOPEk;CR|h@ zWpxTn>|VMG!uD+{I)h>mJkMe#P_i;XW6ceM@omSgo%&8e?Gz^#o;CWIGd}gKM||ag zGCK2HgqXGA0AfFu4m-lzDlw)dw)ZCk(1~w}L!F7BNP;M{6l|M~eA+8FDWIv72{(+D zGx%F8m|^+CHS39uTi`9hg$jbKa9<-ypX%BYP7m9>auXlp#_v}#tt93kQEPL6^VsIRvy~JD0Wr1>vPId zFm~w2e%$l7*XLyO-@!J{5kJ0{rS3HR`9K790e$kI*y~-6eL-nH>Q zDw^w^aVs5@vCc|DY=g-eKGQ*aUQbTct(`eIqTDLYrFy>zizQ+?uEH<+)bbH9rD)3;gbj-70stjXytl-p5LRsq5M)joGtSw5wiu{Utyh^Ay{`5I2ptZ4cdW| z^=d7$GvQPjj>P_BoQVw{L%VsNZMNx$;(2V8S!uz$MH6f!;KtAX-Pw9Z)EreEOQz^? zU}-@R(2VuFg&et@iDOl>4$}qjgSUS z3-uB-y<43PlUn-p;!LuVUCdR?BvlzT48U6)`GMYoPJ(zvWKoa zzDWu+2jMwqT+S)B+#P_b{isvxu-5Dd)Ti{OBer!2&HUgz<>uF$2*f%9)cNwPiQJR) z22;^{oajG>c#Pm)rxvg_F)>G8cOpYfg;seW^AwM&OPZ9a)9J1s*UmWO_H)9~srH6S zud8{YCMYU>qWI5Gmyg=mnrIl!H;8*(P~%|uTQXPOS(3%o!Eh*_@~g-}llalZcnfn# zF7Gav%=IIMbM&xc4l(IkY*JY#rQ%6JsXY%AeF+{{#@)|$m>@SRXNw9;@m6v@Iehp#Pl{T7$fkM%Rz z_g$uq1p2Yb3l-ui^m6G-c%_2~t)JixZ`Ex~M8}iS$`}nj{Z2kQo>Y})ceP1)j@^Re zS(^54BI*?8frV0q?dbYOF5`5G$Lalx1l$s@Uk73NB*LM!U4%X0d(@mKkYbSdf-c!a zGSWiDrJhK`0{PdC`|uJ8>nsbz*}-JRvqz_DZz&dJ#*(sndX$0)!(1#+&PK2C3o-f( zMrU^M361?X2J-yG@>!6>SKB`i?*3$9bK>j-_g{@a2}_(GfxbOwZ~5UmdGn#Z~wL{P9;Z{n5U zySZhYFqZIE&|-N0(l&IrLRN=XXreNV=CEPIsCicMZ;267I5Bhcyr20!+jOt~+SmTE z=`^F|KXw8!1CM{>I)SLuL@=0x)Mv11F-uG3G=q}d#kH7R%>-~By=_Uo^_WI((o?@N zG&daWm`_PXX7ysYU3X0o9Os!}zzl=**L84*P+^()zOPi%N92{BEXVOtW1Z<;qsl*3`1?_K7ZFLt$)`9Lj+;dP4qC$Rq&rs z4UJ5^*Jk4GLHc+gxeVWN*}8qMXG3PH6qfJ>P&FT)LDkZ3?$Fr0&vg=fXydEC@9Ouq zBn3PNwXMB&>n^|?tJNe#q1v`CXGv>3f9sxsDh%!o;{x|!to%-?)FP+3c zclc9k{4JmT#s7-_|9r)l^h@#khy2gn&#?ZY|48AN@Jr?TFYJi@7yPVaU$QSHaN)fBQ(ms?$~JF>7=S{1g&8jRySIvfM0zEGA`EvW89ubTEtLYX*UB?6 zC^-HFlE0jeDgXr>&#HpN=c+L<@HjsOk_-&JMj-Mokc@F&Y7AnpwP0Xqu>T7r8Jvz< tGB9{JOtRu|3UzaL4hix(YX?#?*B+?E;VF=0V0h~SBKNw2L|WZIYygIYI~D){ diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v_utilization_synth.rpt b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v_utilization_synth.rpt deleted file mode 100644 index 8ac7ebe..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/pulsegen_v_utilization_synth.rpt +++ /dev/null @@ -1,173 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 -| Date : Thu Dec 24 23:23:08 2020 -| Host : colindrewes running 64-bit Ubuntu 18.04.5 LTS -| Command : report_utilization -file pulsegen_v_utilization_synth.rpt -pb pulsegen_v_utilization_synth.pb -| Design : pulsegen_v -| Device : 7z020clg400-1 -| Design State : Synthesized ---------------------------------------------------------------------------------------------------------------- - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Memory -3. DSP -4. IO and GT Specific -5. Clocking -6. Specific Feature -7. Primitives -8. Black Boxes -9. Instantiated Netlists - -1. Slice Logic --------------- - -+-------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 2 | 0 | 53200 | <0.01 | -| LUT as Logic | 2 | 0 | 53200 | <0.01 | -| LUT as Memory | 0 | 0 | 17400 | 0.00 | -| Slice Registers | 3 | 0 | 106400 | <0.01 | -| Register as Flip Flop | 3 | 0 | 106400 | <0.01 | -| Register as Latch | 0 | 0 | 106400 | 0.00 | -| F7 Muxes | 0 | 0 | 26600 | 0.00 | -| F8 Muxes | 0 | 0 | 13300 | 0.00 | -+-------------------------+------+-------+-----------+-------+ -* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 3 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Memory ---------- - -+----------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------+------+-------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 140 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 140 | 0.00 | -| RAMB18 | 0 | 0 | 280 | 0.00 | -+----------------+------+-------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -3. DSP ------- - -+-----------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------+------+-------+-----------+-------+ -| DSPs | 0 | 0 | 220 | 0.00 | -+-----------+------+-------+-----------+-------+ - - -4. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 10 | 0 | 125 | 8.00 | -| Bonded IPADs | 0 | 0 | 2 | 0.00 | -| Bonded IOPADs | 0 | 0 | 130 | 0.00 | -| PHY_CONTROL | 0 | 0 | 4 | 0.00 | -| PHASER_REF | 0 | 0 | 4 | 0.00 | -| OUT_FIFO | 0 | 0 | 16 | 0.00 | -| IN_FIFO | 0 | 0 | 16 | 0.00 | -| IDELAYCTRL | 0 | 0 | 4 | 0.00 | -| IBUFDS | 0 | 0 | 121 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 16 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 16 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 200 | 0.00 | -| ILOGIC | 0 | 0 | 125 | 0.00 | -| OLOGIC | 0 | 0 | 125 | 0.00 | -+-----------------------------+------+-------+-----------+-------+ - - -5. Clocking ------------ - -+------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+------------+------+-------+-----------+-------+ -| BUFGCTRL | 1 | 0 | 32 | 3.13 | -| BUFIO | 0 | 0 | 16 | 0.00 | -| MMCME2_ADV | 0 | 0 | 4 | 0.00 | -| PLLE2_ADV | 0 | 0 | 4 | 0.00 | -| BUFMRCE | 0 | 0 | 8 | 0.00 | -| BUFHCE | 0 | 0 | 72 | 0.00 | -| BUFR | 0 | 0 | 16 | 0.00 | -+------------+------+-------+-----------+-------+ - - -6. Specific Feature -------------------- - -+-------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------+------+-------+-----------+-------+ -| BSCANE2 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 2 | 0.00 | -| STARTUPE2 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+-----------+-------+ - - -7. Primitives -------------- - -+----------+------+---------------------+ -| Ref Name | Used | Functional Category | -+----------+------+---------------------+ -| OBUF | 9 | IO | -| FDRE | 3 | Flop & Latch | -| LUT1 | 2 | LUT | -| LUT2 | 1 | LUT | -| IBUF | 1 | IO | -| BUFG | 1 | Clock | -+----------+------+---------------------+ - - -8. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -9. Instantiated Netlists ------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/rundef.js b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/rundef.js deleted file mode 100644 index e47c104..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/rundef.js +++ /dev/null @@ -1,40 +0,0 @@ -// -// Vivado(TM) -// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 -// Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -// - -echo "This script was generated under a different operating system." -echo "Please update the PATH variable below, before executing this script" -exit - -var WshShell = new ActiveXObject( "WScript.Shell" ); -var ProcEnv = WshShell.Environment( "Process" ); -var PathVal = ProcEnv("PATH"); -if ( PathVal.length == 0 ) { - PathVal = "/home/colin/Xilinx/SDK/2018.2/bin:/home/colin/Xilinx/Vivado/2018.2/ids_lite/ISE/bin/lin64;/home/colin/Xilinx/Vivado/2018.2/ids_lite/ISE/lib/lin64;/home/colin/Xilinx/Vivado/2018.2/bin;"; -} else { - PathVal = "/home/colin/Xilinx/SDK/2018.2/bin:/home/colin/Xilinx/Vivado/2018.2/ids_lite/ISE/bin/lin64;/home/colin/Xilinx/Vivado/2018.2/ids_lite/ISE/lib/lin64;/home/colin/Xilinx/Vivado/2018.2/bin;" + PathVal; -} - -ProcEnv("PATH") = PathVal; - -var RDScrFP = WScript.ScriptFullName; -var RDScrN = WScript.ScriptName; -var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); -var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; -eval( EAInclude(ISEJScriptLib) ); - - -ISEStep( "vivado", - "-log pulsegen_v.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source pulsegen_v.tcl" ); - - - -function EAInclude( EAInclFilename ) { - var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); - var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); - var EAIFContents = EAInclFile.ReadAll(); - EAInclFile.Close(); - return EAIFContents; -} diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/runme.bat b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/runme.bat deleted file mode 100644 index 8eb74b1..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/runme.bat +++ /dev/null @@ -1,11 +0,0 @@ -@echo off - -rem Vivado (TM) -rem runme.bat: a Vivado-generated Script -rem Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. - - -set HD_SDIR=%~dp0 -cd /d "%HD_SDIR%" -set PATH=%SYSTEMROOT%\system32;%PATH% -cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/runme.sh b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/runme.sh deleted file mode 100755 index 3dab469..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/runme.sh +++ /dev/null @@ -1,39 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -# - -if [ -z "$PATH" ]; then - PATH=/home/colin/Xilinx/SDK/2018.2/bin:/home/colin/Xilinx/Vivado/2018.2/ids_lite/ISE/bin/lin64:/home/colin/Xilinx/Vivado/2018.2/bin -else - PATH=/home/colin/Xilinx/SDK/2018.2/bin:/home/colin/Xilinx/Vivado/2018.2/ids_lite/ISE/bin/lin64:/home/colin/Xilinx/Vivado/2018.2/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH=/home/colin/Xilinx/Vivado/2018.2/ids_lite/ISE/lib/lin64 -else - LD_LIBRARY_PATH=/home/colin/Xilinx/Vivado/2018.2/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD='/home/colin/Desktop/PL-Sensors/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1' -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -EAStep vivado -log pulsegen_v.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source pulsegen_v.tcl diff --git a/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/vivado.pb b/burn/ip/pulsegen_v/pulsegen_v.runs/synth_1/vivado.pb deleted file mode 100644 index fae4826a24929f247974f33a1a385768110bb300..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 27185 zcmeGlX>TM)HEpkLQdx)*$m)NW+$t~WF8W2WbTkgSj0EZM{HUf^W0dS}Yx&bGUU z?jEnb8bwI(84zC(d_W)s3gHHUI3yxY@rmOTh*O9!@SPvPtLkIAr>oueuDxwXW36_k zyQg2hdR6b$t9KQ;jSkORYipX>tm8=sjUSpADl1bDuIuYs({dYzdfyp9WP&35rdqjE=-#fH%;iDE&L$C_kC>lki5__8!oDoB#D`at{8 z#-UFO4~!3?Iy!RMg_m`+g`-VSB!;C@ErJDoTal&c+g}jS^QT>xthE^c*TOC0VhtO5 zgP0D%E0&E{h~{=|f{#x+$1!wq8tyg8I{bp&Vht%`b!xN$B(O~Rz39Qqw6D-pC)ox0 zJ!s7DXY%3Fs8lH^rAidVPYOspYq>i^X=Gwpk;Gj@sRk&GY>ap`|DAw_CY|xaQzMMx z@(%>`MIju8p!0VKuH!5E8o?)=lXcu^ckr`V6zlX~3BL~$Lu)&v*}GZBMSOv*S@tGA zabX5tX%p=hJeCwuEh;j7r={s8+^MQXwIajyicJV^uWmYeLo?ukTqy^4*LBk%>d4QmryIVrkB%BR`2yZ}KJq z%a5Q3ICpLI%JizWMy4BWjoV1JGMEkx2Jo+BVUV--EgKI zt7A8avn)-U74YbQoc8;Jc@MJmd*O27(H2B}guVr&y-keVcAFvx3+H>+kbov&<65Y^e=r> z{ked?(WC0DWjd}6;=#q&G(&G{u5Ov>0%#8jtndje^1ByGp!^A(KL*$0lk5X%imfX6 zyrng%P+p)~0xZFFS)teGOjw}T*iiGS8&015`}VV;&?~C@)ccj6eczw|^syrd zy`S{hG3eVCBer|YSuNJel}8v@lG=rw(>krFsk+Wc6_kIf>WprTdJ9VaGU4xiJoGC8eY?j)i&xI$ORzCn z)9-kz*}|#@dlGrq7)Mo$HI?#7z&ND}Fb>rt5_)wxR3@ToL$y}o%c*^|s?EpcZUH@x z7D?NJg@n?V$|s$w3QisKyAm!T*W-YmL#Iv^PQ`Vg*k$D6)Q&-SaW{q@T#`2IO1+8D zJqOB;X2L!IcXteW53$}g(D}3G`S@s;5cX6NIx1l6Xu}o>R86?&>W-^7oUGZCe~(^_ z_eeoc%@J4A4cL;zJ4LlBkTDq(s~!h;484O+_|wfMv7PL!5422vInD~RXgVg7W30xL9~qpM zzjVwWgBRi?7SR))d}bDwMdJAd@Z}D;;q1+QPtT8gJKxZrd<$KVb;PNoxyuW%DU)Rp zp8$smHi+4BSL>>H63r~0zCa&U7EoNld_w(gOTn@}5>AGf{V7jYQV}@oJ z#0Y(N4sO^w?09qy>kc--i@XNz?TjvdMnG!Yw%S??_O@UVY*5dN2AkbU2Wt&RLWg{` z1KVF6+^fVOYjh_JK0)RXRNyag2&VY(j-t1(Qy0y$)p7aeL+Y5MfTCu~&k5+*8nIgx zCEYiFcs_aBGzQl_bIUY2eo1%do-G<;@mk@FyYP3FADu&Y+p zUBr&p%r>x7?hkgnX10M{>Hc8HYi1kRmG2LByk@q6oqB(;<2ADl>?+&D?o+!dN<jmm*5TfYZLI}yA(KuK zTq1`+M#+Jw$@Gb2M2+~!%25}^_lD4^HHd)H3r$;JCnh#QeuEsot-GuAlijs(8=_*E z^c$lnm8AeB5Wp`bsX_->a$L>>kjxRc&NT1coE$r)QmSKztrcZTwy}*d&$ILTUXe{U zn;fzMJp)7QeBkOBI6)tvse)$u_1D$S`^FN*btHH;#O<>KX7Z{;)ZCL(3G^dj`_Eci~CI6 zl2Akwo|(iCu8W4AWS9){7diQ3@aI0E_ojf}=m|XzO>>cPRD33)f7NP@Kfr@9o75?}RB;1Y|I_X&%1S&>#&m7WXl2It0ggbW$g6SLr;jNa*pZf#Z7I6f> z6jz38XwoC&83>4X3H7hx83NfR1P=&HwSeAV#^kyZC+z#tiO|12ply$e{BIewO^XQu z3WIC*B5@4}c*U~@(acUe16Ka(MgACU5sNV(DSw6*GN#oZ`*g-13Fyl`oiQp))3rrv zn2TqNgUwIb6`LP78}=Y*i*s?Y`V4w3NNbs0C5>AF^Jj+fcj9nvpmYBIF0#!FMn1R| zeD+kw-kspLg{;kTvf-{53E4dd^%(r1NdKcdZ`+U`kGp=T3w(ps+3mn5WYI&63uH+_ zwy*zw#*9oh>|@XCgx{Usqu^Wc8TJ>5vEzojUN%FQx!;yO7w?b#aC{RT7*Sn&%t7zWO1m}RR^rP1J23joWJ#P&MyV@tsdua zcBquD1GYI>Y?G#}U5BhUy5t0<79H%B{K=5E`D>r2ZaL!w}28f&)7V;*f}n~*?Q*cnX|s7T1v4& zZ-GnKt2fgJS5j>XWSIQch%&nzjFgcvYHh+5aLzS5;ElI;{p zLfiu!eMX_x&b>kPKD@~xRZ@mE49wYm9P?k|wzdYOt=t36j4^WXA75mL^Tv+z-x500 z(}Y%nI@BzORK!sC6I`p$V1?BRU=^Njdj$m`-5;`GRUBLvGg|cvG>3^8tUzg_1P?)^eQ-xN~1M{C>|#NFNgNs&^WTjep`W&dUn zzG7>p0|9STcXs?U4DNty6kO6Yk_bU{g<+*EPK`l*h*u#obZJB?lq>W^g|M0|L50&0 ze6-1m=tG9`Rj7KduLWfy99CHt%4>l(%j!l@kV8^sYRqpUrSALEh+Hd3u|oB)BH4${ zunr4BL!PQf`zDl$pgGdB3s)pK5;LpgQsk3rkXnzYM{U7p=rd-X;(X2_@G} zhti%jdE$Xi5J`$nkgMp(A`}hL97;+5oDJ{P5Ez@PvduFq0C1&HjTHz1+t^v49j#so z;tak8!6NKpmTn2@ArZk{CfwjxerO3X8&s!;K0!z50VXg_A_tfpK|@k4nS)Cyukvpz z{M(%2TRK!KlqikDLrp${CIGYEM0Pq2sP*csbPQwDLoRx*iHy-<#;yM8D#?af3p7JM zY~kNS$ewf{D=Bt52Q(Uuw1P|ii5%>Ae$im|y}w>??`E%DFg+ON@WgPb+B=s7vY?}g zLrJILq?ho>7a1~-y+cD3IsGZbgH`xXCAB0LE9DaBDV)SoQsiQ_${Q-k>6azm&_0ev z6d8af0kYFuDl(;Wxb1ln9Rt>3sq^091VK@W+b|y?t{6iN99Bs}l0;?dq23Rjr7@{m zD9aL+8)3<6h^E6=RtcTm>^K@I2n`h~01Oc`>ZYQpiY9cA`N;!rB%bj*!G! zHqeLe=~xoGW5Tf{>~tR~dLT)&(N4Aoy{b@|6cY)b_g2=C386GK3gGC8L9d}#=Ql{B z!`7lY)Zm~co0s9z2&!QhR-krmLQ9oxfbHQv7*wI+h;4WD2gIn_H&lZw18v^pHc(C5R7@0(?2Gl zN9H#?dl*a`jn)q-7QJaAi)!$SJb^~9vGbaegC;|C;g!`6o+Awm$MDEX9sY?`EW=?< b$zL-z0LXwuNWym@G!MGuA}qspb6og8V$4@$ diff --git a/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/xgui/pulsegen_sync_v1_0.tcl b/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/xgui/pulsegen_sync_v1_0.tcl deleted file mode 100644 index 0db18e9..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.srcs/sources_1/new/xgui/pulsegen_sync_v1_0.tcl +++ /dev/null @@ -1,10 +0,0 @@ -# Definitional proc to organize widgets for parameters. -proc init_gui { IPINST } { - ipgui::add_param $IPINST -name "Component_Name" - #Adding Page - ipgui::add_page $IPINST -name "Page 0" - - -} - - diff --git a/burn/ip/pulsegen_v/pulsegen_v.xpr b/burn/ip/pulsegen_v/pulsegen_v.xpr deleted file mode 100644 index 6d5c79b..0000000 --- a/burn/ip/pulsegen_v/pulsegen_v.xpr +++ /dev/null @@ -1,151 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/burn/z1/base/base.bit b/burn/z1/base/base.bit index a4b4557ae3dc4444d3348f21592255fd1686c19e..00802826470f480291f7c08999d950e645333782 100644 GIT binary patch delta 225 zcmWN=O*TSd0D$3}geam!g?{`Lp}x44OWQDa4hu1OXYZg{D{0bNT0jHiMg~0RJgfCO zwfaAOFUP$QqR%KBM#&&fLO=S7CX3(M$4|5IEv9e-)3}Kl+`?_#!7T3L9_BEQ`*?r_ zJj5d`VhNA2j3;=C6|78`U-513WV!eQ1_W6? delta 225 zcmWN=%{Br70D#d+lE_a=$WNsxQq3@Q zXIB5`uhn=MCBb`8h~hL%(r_Gn1heJu;_au|_!Kj^fmz(d9ByGAx3PdbxQj*H!+ku! zLoDGDma&4zSj7`O#WSqoIbPr;USS;@*u-mWVHBi0tD{`Rj4C;Z!OLI9NlZA4N0^w%K)b55^*WdR z_oFiEhY)>6#V|<+Ax)BK5zSV=%Xt>JzQi1EU>-NIfLpkYJ6ObB+{1k=;Q=0E8ISN7 zPw*7au!84U#Ts5<9UFLwSJ=cB#@NPdyul83v4^*Khxhn^kNAYo*vA9|zTg0dIKnYb QaEh;(;v2qyJNsGv0cp2dJ^%m! delta 225 zcmWN=Ia0y^06@{8$SN+NfC>WQ0w_x`s6@Dk9W#0mi{JmwlhAM$kC2+m0^iI_w%b(l z-;ZiE4rjqwgfo1> QSA4@c#`unlwaeel4r~-!K>z>% diff --git a/burn/z1/picorv/picorv32.rpt b/burn/z1/picorv/picorv32.rpt index a821331..d9424ba 100644 --- a/burn/z1/picorv/picorv32.rpt +++ b/burn/z1/picorv/picorv32.rpt @@ -1,7 +1,7 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------------------------------ | Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 -| Date : Fri Apr 15 14:10:48 2022 +| Date : Wed May 11 17:31:06 2022 | Host : fabricant running 64-bit Ubuntu 18.04.4 LTS | Command : report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 200 -input_pins -name timing -file picorv32.rpt | Design : picorv32_wrapper diff --git a/burn/z1/picorv/picorv32.tcl b/burn/z1/picorv/picorv32.tcl index 62ae7a9..825c328 100644 --- a/burn/z1/picorv/picorv32.tcl +++ b/burn/z1/picorv/picorv32.tcl @@ -59,7 +59,7 @@ xilinx.com:ip:xlconcat:2.1\ user.org:user:launchpad:1.0\ xilinx.com:ip:axi_protocol_converter:2.1\ UCSD:hlsip:pulsegen:1.0\ -user.org:user:pulsegen_sync:1.0\ +colindrewes.com:colindrewes:pulsegen_v:1.0\ xilinx.com:ip:axi_gpio:2.0\ cliffordwolf:ip:picorv32_bram:1.0\ xilinx.com:ip:axi_bram_ctrl:4.0\ @@ -390,7 +390,7 @@ proc create_hier_cell_chain0 { parentCell nameHier } { set pulsegen [ create_bd_cell -type ip -vlnv UCSD:hlsip:pulsegen:1.0 pulsegen ] # Create instance: pulsegen_sync_0, and set properties - set pulsegen_sync_0 [ create_bd_cell -type ip -vlnv user.org:user:pulsegen_sync:1.0 pulsegen_sync_0 ] + set pulsegen_sync_0 [ create_bd_cell -type ip -vlnv colindrewes.com:colindrewes:pulsegen_v:1.0 pulsegen_sync_0 ] # Create instance: resetGpio, and set properties set resetGpio [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 resetGpio ]